Flip-Chip Bumping Services: Driving Value-Added Businesses

Size: px
Start display at page:

Download "Flip-Chip Bumping Services: Driving Value-Added Businesses"

Transcription

1 Research Brief Flip-Chip Bumping Services: Driving Value-Added Businesses Abstract: Wafer-bumping services are diversifying their forms with the evolution of flip-chip packaging technology. By Masao Kuniba and Philip Koh Recommendations IDMs need to consider how to utilize wafer-bumping services, which are provided by SATS providers or foundry service providers as a turnkey solution for flip-chip packaging. The plating Au wafer-bumping service market will be in a long-term declining trend and wafer-bumping service providers need to consider value-added services including flip-chip packaging in addition to developing plating solder and lead-free bumping methods. Combination of the stud-bumping method and advanced flip-chip packaging technique will allow integration of three-dimensional packaging into system-in-apackage, and IDMs and SATS need to consider standardization of dies optimized for SIP. Publication Date:October 9, 2002

2 2 Flip-Chip Bumping Services: Driving Value-Added Businesses Introduction Wafer-bumping and die-bumping techniques of gold (Au), solder and other lead-free alloys are indispensable for flip-chip packaging and will be key to packaging solutions both for system on chip (SOC) and system-in-apackage (SIP). Gartner Dataquest expects strong growth of high value-added flip-chip bumping services by semiconductor assembly and test services (SATS) providers, foundries and integrated device manufacturers (IDMs). This Perspective reviews the technology and market trends of each bumping method and the regional distribution of wafer-bumping service providers. Classification of Bumping Technologies and Recent Development Trends Recently, diversification of flip-chip packaging types and the pace of technological advancement have sparked continuous development and modification of bumping technology. Gartner Dataquest classifies bumping technologies into five types according to the bump-formation method (see "Flip-Chip Packaging Update: Emerging Market With Solder Bumping Service Providers," SEMC-WW-DP-0057). Here, the five bumping technologies are reviewed in terms of wafer-bumping and die-bumping technologies, and the latest technology trends are added as required. They are as follows: Plating Au-bumping method This is a wafer-bumping technique for bump formation by electrolytic or electroless Au plating. This technique has been established as a mainstream bump-formation technology for liquid crystal-display (LCD) drivers. The market and its growth trend aresignificantlyaffectedbythefuturedevelopmentofthepackaging market, especially tape-carrier-package (TCP) and chip-on-flexible (COF). LCD driver packaging is increasingly moving toward chip-onglass (COG), together with the adoption of low-temperature polysilicon/organic electroluminescent technology for next-generation display implementation. As a result, this segment will be in a long-term decline. Plating solder-bumping method This is a wafer-bumping method for bump formation by electrolytic or electroless solder plating. This technique is being rapidly adopted as full-area bump technology for high value-added SOCs such as microprocessing units (MPUs), digital signal processors (DSPs), advanced memories and application-specific integrated circuit/application-specific standard products (ASIC/ASSPs). While it offers high reliability, it requires a relatively long turnaround time, ranging from mask design to wafer-bumping, probe test and flip-chip packaging. Cost advantage can be realized if volume production is achieved, but the long turnaround time makes it difficult to meet flexible production requirements for multifunction mobile electronic equipment with a short life cycle in which time to market is a critical success factor. Also, the relatively high cost is a major

3 hurdle for the solder plating solution to be deployed into the costsensitive emerging markets. Meanwhile, the industry is striving to address future lead-free needs by developing a tin-silver (Sn-Ag) solution to replace solder (Sn-Pb). Printing solder bumping This is a wafer-bumping method for bump formation using a solder paste and the screen printing by stencil. However, it is technically difficult to meet finer bump pitch requirements less than 150 microns because of the technical bottleneck in stencil technology. On the other hand, it offers a shorter turnaround time than the solder-plating method, and cost reduction is expected with the improvement in bump reliability. Stud bumping This is a die-bumping method using gold wire and the ball bonding technique. It can enjoy the established infrastructure conventional wire bonding technology and equipment and satisfy small pitch requirements, albeit limited to the peripheral bump. By leveraging these advantages, development and modification is under way to establish flip-chip technology capable of fitting in the flexible production environment characterized by small lots and a large variety of products. Combining the stud-bumping method with the ultrasonic flip-chip packaging technique will allow integration of threedimensional packaging into SIP within a short period of time. In particular, by deploying advanced memories and microcontroller units (MCUs) optimized for SIP as a common module, the method is expected to become a time-to-market solution for the cost-sensitive emerging market multifunction mobile electronic equipment. Finally, the use of gold wire has a future cost advantage, as it is free from the lead-free development efforts. Ball bumping This is the method transferring solder balls and other lead-free alloy balls and forming bumps by reflow. This method is implemented by either wafer-bumping or die-bumping technology. Leading-edge methods are developed, including modification, using micro-balls such as low-alpha solder balls and other lead-free alloys (for example, Sn-Ag and Sn-zinc [Zn]) to meet the packaging needs for advanced memories. With the ability to minimize the variation of ball diameters, the method boasts a high level of uniformity in terms of coplanarity and can assure a 100 percent yield because of reparability. However, as the cost-effectiveness of the microball solution is still uncertain, it will be first considered for the advanced market high-end ICs and the emerging market, such as advanced memories with a relatively small number of input/outputs (I/Os), about 150 pin counts. Growth Factors for the Bumping Market Development of wafer-bumping technology has been progressing rapidly side by side with development and upgrading of flip-chip packaging and related technologies since That is when Intel adopted flip-chip interconnection technology based on the solder-plating method for its MPU packages, driven by the need to meet the rapid increase in operating 3

4 4 Flip-Chip Bumping Services: Driving Value-Added Businesses frequency. Pentium 4, running at close to 3GHz, uses the 478 I/O counts flip-chip pin grid array (PGA). Thus, wafer-bumping technology has successfully expanded its application, which was previously limited to high-end devices for mainframes and servers, from the advanced market to high-volume MPUs as part of Intel's strategic move. In fact, this strategy has led, with the success of Intel's MPU as the de facto PC standard, to a concentration of cost-reduction efforts and technological breakthroughs in the entire flip-chip packaging infrastructure, including not only the waferbumping process but the buildup substrate used as an interposer. A number of technological advancements and solutions have accelerated the pervasiveness of the wafer-bumping technology into volume production of non-mpu devices. In particular, in the high-speed data communications market one of the future growth markets diverse flip-chip packaging solutions are being proposed at an accelerated rate. In ASIC/ASSP and DSP applications, the focus of technological development is moving to the flip-chip interconnection using the solder-plating-based wafer-bumping technology to reduce inductance or noise between the die and the interposer for increasing operating frequency and data processing speeds. Meanwhile, the plating Au-bumping method faces major challenges related to its limitations in terms of cost reduction and technological evolution. Development and upgrading efforts in the field of LCD driver packaging, traditionally using the plating Au method namely TCP, COF and COG center on commercialization of low-cost midsize and large LCDs to replace cathode-ray tube (CRT) displays. The cost reduction efforts will likely continue for a while, as led by LCD vendors in South Korea and Taiwan. In the process, it has become apparent that the plating Au-bumping method is close to its limit in further cost reduction, while it has technical difficulty in full-area bump formation, making it difficult to meet the needs for commercialization of SIP, including 3-D packaging. Gartner Dataquest predicts that the plating Au-bumping method will face slowing demand growth. Instead, the plating solder and related methods (plating lead-free, such as Sn-Ag) as well as other bumping methods will hold the key to accurate forecasting of wafer-bumping market growth, especially in what applications the wafer-bumping and die-bumping technologies based on these methods will be adopted. Flip-Chip Package Growth Forecast In 2001, the world semiconductor package market fell more than 10 percent, to 74 billion units. Figure 1 shows the breakdown of bare-die interconnections, with bump formation, in the overall market, and the unit shipment forecast. Notably, the bare-die interconnections' segment recorded an annual growth rate of about 10 percent in 2001, despite the general decline. The mixed results are also apparent from the compound annual growth rate (CAGR) on a unit basis between 2002 and While direct-chip attach (DCA) for the high-end market is expected to show a CAGR of negative 16.8 percent, flip chip in package shows an impressive 33.5 percent growth.

5 5 Figure 1 Flip-Chip and Other Bare-Die Connection Forecast, ( ) Millions of Units 10,000 8,000 6,000 Others DCA COG TCP COF Flip Chip On Board Flip Chip in Package 4,000 2, The flip-chip in package category includes single-chip flip-chip packages such as flip-chip PGA, flip-chip land-grid array (LGA), and flip-chip ballgrid array (BGA). These are used in the advanced market, such as high speed MPUs and ASICs and DSPs for network servers, which will continue to rely on flip-chip packaging based on the plating solder-bumping method because of reliability and the ability to meet full-area bumping requirements. At the same time, fine-pitch ball-grid array (FBGA) used for multifunction mobile electronic equipment in the emerging market is expected to adopt pressure-welded or ultrasonic flip-chip packaging technology using the stud Au-bumping method as the SIP solution. As for the plating Au-bumping method, which faces limitations in terms of cost and technology, LCD driver package demand is destined to decline as LCD driver ICs will be embedded into LCD panels in accordance with the generation shift of key technology from the conventional amorphous thin film transistor (TFT) to low-temperature polysilicon or organic electroluminescent. COF is expected to show a 3.1 percent CAGR in units between 2002 and 2006, whereas TCP will have a CAGR of negative 14.6 percent. In the long run, the slowdown in the plating Au-bumping segment is a foregone conclusion. Value-Added Services by Wafer-Bumping Service Providers Flip-chip packaging technology is highly dependent on equipment and materials. In particular, wafer-bumping technology and its strategic

6 6 Flip-Chip Bumping Services: Driving Value-Added Businesses direction (applications and suitable market segments) are determined by the selection of a bumping method and flip-chip packaging equipment and materials (package substrate, underfill, and so on). It is difficult to convert one process to another. This demands a timely investment decision and requires considerable time and resources for commercialization. IDMs that do not have their own wafer-bumping process have to rely on outside service providers. Tables 1 through 3 show the recent changes in wafer-bumping service providers by bumping method. Tables 4 and 5 list leading IDMs that work with the studbumping and ball-bumping methods for high-density flip-chip packaging, including those providing bumping services. The capacity and wafer size are Gartner Dataquest estimates, and the data were gathered through primary and secondary research. Table 1 Plating Au Bumping: Wafer-Bumping Service Providers, LCD Package Country/Company Capacity (Wafers/Month) Diameter (mm) Bare-Die Assembly Germany PacTech 10, Yes Japan Casio Micronics 200, Yes Chisso (Sun Electronics) 60, Yes Citizen Watch 9, Yes Fujitsu Tohoku Electronics 5, Yes Singapore MicroFab Technology 20, No South Korea Microscale 5, No Switzerland EM Microelectronics-Marin 5, Yes Taiwan ASE 10, Yes Chipbond Technology 50, Yes FuPo Electronics 20, Yes Megic 15, No United States Aptos 3, Yes

7 7 Table 2 Plating Solder Bumping: Wafer-Bumping Service Providers, Flip-Chip Package Country/Company Capacity (Wafers/Month) Diameter (mm) Flip Chip Assembly China (Hong Kong) AIT 5, Yes Germany PacTech 8, Yes Japan Casio Micronics 8, No Chisso (Sun Electronics) 4, Yes Citizen Watch 11, Yes Fujitsu Tohoku Electronics 8, Yes Shinko Electronics 3, Yes Singapore MicroFab Technology 10, No South Korea Amkor Technology 3, Yes Switzerland EM Microelectronics-Marin SA 5, Yes Taiwan ASE 3, Yes Chipbond Technology 5, Yes FuPo Electronics 3, Yes Megic 3, No TSMC 5, No Unitive Taiwan 12, No United States Aptos 8, Yes Unitive 8, No Table 3 Printing Solder Bumping: Wafer-Bumping Service Providers Country/Company Capacity (Wafers/Month) Diameter (mm) Flip Chip Assembly South Korea Amkor 30, Yes Taiwan APack 8, Yes ASE 15, Yes SPIL 10, Yes United States Kulicke & Soffa 80, No

8 8 Flip-Chip Bumping Services: Driving Value-Added Businesses Table 4 Stud Au Bumping: IDM and Die-Bumping Service Providers Country/Company Japan Capacity (Millions of Units/Month) Diameter (mm) Flip Chip Assembly Fujitsu - - Yes Hitachi - - Yes Hitachi Hokkai Semiconductor - - Yes Matsushita Yes NEC - - Yes Oki - - Yes Seiko Epson - - Yes Sharp - - Yes Shinko - - Yes Sony - - Yes Toshiba - - Yes Table 5 Ball-Attach Bumping: IDM and Wafer-Bumping Service Providers Country/Company Capacity (Wafers/Month) Diameter (mm) Flip Chip Assembly Japan Matsushita - - Yes NEC - - Yes Nippon Steel 3, No As seen from these tables, many SATS providers have established their own wafer-bumping technological base, either through licensing or in-house development, to provide a turnkey solution from wafer bumping to flip-chip packaging. Five years ago, the Flip-Chip Division of Kulicke & Soffa (formerly Flip Chip Technology) and Unitive were leading waferbumping service and related intellectual property (IP) providers. In addition, wafer-bumping service was available from only a handful of companies, including Fujitsu Tohoku Electronics, Citizen Watch and Casio Micronics. Today, the community has grown to as many as 30, including those at R&D level. At the same time, wafer-bumping service providers have been pouring money into capacity expansion on the basis of their own technologies. Notably, in the plating Au-bumping market, as the mainstream of PC display shifted from CRT to LCD in the past few years, capacity for LCD driver packaging continues to be in short supply. The market attracted several new entrants in alliance with LCD vendors, such as MicroScale of South Korea, Chipbond Technology and Megic of Taiwan, and MicroFab Technology of Singapore. Established plating Au wafer-bumping providers are also expanding production capacity, which is accelerating cost reduction. As for plating solder bumping, Unitive expanded its alliances on the strength of its proprietary technology for 300-mm wafer processes, in addition to leading SATS providers such as Amkor and ASE. Other wafer-

9 bumping service providers raised their capacity utilization rates with the steady growth of flip-chip packaging demand. Furthermore, foundries such as Taiwan Semiconductor Manufacturing Company (TSMC) and United Microelectronics (UMC) will likely find a source of additional value in plating solder-bumping technology and will make inroads into the SATS-dominated market. As they establish their presence, they will be able to offer "fab pack" services, ranging from silicon foundry services to wafer bumping as well as assembly and testing, thereby creating a "onestop shopping" service in the field of flip-chip packaging. This means standardization of flip-chip technology and customization for individual customers will progress simultaneously. The outcome will be cost reduction driven by scales of economy, which will lead to bottom-up expansion of the flip-chip packaging market. In fact, TSMC has already started wafer-bumping processes using plating and printing solder-bumping methods, while UMC deploys value-added business by contracting wafer-bumping service to Advanced Semiconductor Engineering (ASE), Silicon Precision Industries (SPIL) and Unitive in Taiwan, with a view to having its own wafer-bumping capability through licensing. It should be noted, however, that, although the market expands steadily (on both a value and unit basis) with the growing flip-chip packaging demand, wafer-bumping service is susceptible to deterioration of profitability because the number of wafers processed declines as larger-diameter wafers become pervasive to produce more dies per wafer. Thus, most wafer-bumping service providers are eyeing comprehensive services, including package assembly and foundry. Here, they have to differentiate themselves from others in terms of a turnkey solution that covers flip-chip packaging, not to mention creation of a higher valueadded service. Because flip-chip packaging is increasingly in demand in the next-generation emerging market in addition to the advanced market, capital spending related to wafer-bumping service is rising. This includes spending for advanced-packaging lithography equipment and flip-chip bonders, despite the sluggish semiconductor investment during the economic recession. In 2001, the advanced-packaging lithography equipment market grew 22.5 percent and reached US$76 million, and it is expected to record a 23 percent CAGR from 2002 through The flip-chip bonder market registered 1.5 percent growth and reached US$68 million in 2001, with a 20 percent CAGR projected for Thus, the flip-chip packaging infrastructure will likely be established with the aid of active capital spending in packaging equipment. However, there is a caveat for IDMs that intend to build up the wafer-bumping business from scratch. While the process is much less costly than the fab process about 100 times less expensive the wafer-bumping process including the flip-chip line at about US$10 million must be amortized and can be a financial risk if overcapacity occurs and the operating rate declines substantially. Also, to enter the wafer-bumping service business, an IDM's own brand becomes a barrier to competing IDMs or original equipment manufacturers that need to outsource wafer-bumping service. For them, it 9

10 10 Flip-Chip Bumping Services: Driving Value-Added Businesses is important to offer outsourcing service to wafer-bumping service providers. Gartner Dataquest Perspective Wafer-bumping services are diversifying with the evolution of flip-chip packaging technology. In particular, the markets in which SATS's business prospers, such as Japan, Taiwan, South Korea and Singapore, provide spawning grounds for wafer-bumping service, which grows rapidly with increased outsourcing of assembly and testing activities. Intel effectively initiated application of flip-chip packaging to volume production by using flip-chip in package for its MPUs, which became widespread in the industry in At the same time, leading SATS providers, including Amkor and ASE, have been increasingly providing wafer level packaging capability by applying the plating based wafer-bumping process to redistribution of wafers. They are relying on the business model that targets customized SOC demand, which represents the advanced market, by leveraging economies of scale from plating-solder and leadfree-bumping solutions. In contrast, IDMs appear to focus on stud Au-bumping technology in an attempt to standardize their solution for the promising SIP market, which is highly cost sensitive. While the two markets are polarized and have little opportunity to merge, the multifunction mobile electronic equipment market based on high-speed data communication will demand that these markets move toward further standardization and convergence. Key Issue Who are the major players in the markets that define the manufacturing infrastructure? This document has been published to the following Marketplace codes: SEMC-WW-DP-0191 For More Information... In North America and Latin America: In Europe, the Middle East and Africa: In Asia/Pacific: In Japan: Worldwide via gartner.com: Entire contents 2002 Gartner, Inc. All rights reserved. Reproduction of this publication in any form without prior written permission is forbidden. The information contained herein has been obtained from sources believed to be reliable. Gartner disclaims all warranties as to the accuracy, completeness or adequacy of such information. Gartner shall have no liability for errors, omissions or inadequacies in the information contained herein or for interpretations thereof. The reader assumes sole responsibility for the selection of these materials to achieve its intended results. The opinions expressed herein are subject to change without notice

Silicon Wafer Demand Outlook: Forecast Update, 2Q03

Silicon Wafer Demand Outlook: Forecast Update, 2Q03 Research Brief Silicon Wafer Demand Outlook: Forecast Update, 2Q03 Abstract: The latest silicon wafer demand outlook predicts about 6 percent growth in 2003, nearly the same as the previous forecast. However,

More information

Update: SOI Wafer Market Continues Its Growth

Update: SOI Wafer Market Continues Its Growth Gartner Dataquest Alert Update: SOI Wafer Market Continues Its Growth The results of Gartner Dataquest's latest survey of the silicon on insulator (SOI) wafer market indicate demand grew 16 percent in

More information

Silicon Wafer Demand Forecast Update, 4Q03

Silicon Wafer Demand Forecast Update, 4Q03 Forecast Analysis Silicon Wafer Demand Forecast Update, 4Q03 Abstract: Silicon wafer demand in 2003 will register an 8 percent increase over 2002. Demand will enter an expansion phase in the second quarter

More information

1Q04 Update: Silicon Demand Will Move to a Full Recovery

1Q04 Update: Silicon Demand Will Move to a Full Recovery Gartner Dataquest Alert 1Q04 Update: Silicon Demand Will Move to a Full Recovery Our latest silicon demand forecast indicates that wafer demand in 2003 will increase 9 percent over 2002. While the forecast

More information

3Q03 Silicon Wafer Update: Demand Continues Recovery

3Q03 Silicon Wafer Update: Demand Continues Recovery Gartner Dataquest Alert 3Q03 Silicon Wafer Update: Demand Continues Recovery The latest silicon forecast indicates that silicon wafer demand will grow 10 percent in 2003 over the previous year. This is

More information

4Q02 Update: Semiconductor Capacity Still on Hold

4Q02 Update: Semiconductor Capacity Still on Hold Research Brief 4Q02 Update: Semiconductor Capacity Still on Hold Abstract: Semiconductor capacity expansions have gone into a hold mode as soft semiconductor demand drops utilization rates lower. Further

More information

India: The Future Looks Promising

India: The Future Looks Promising India: The Future Looks Promising Research Brief Abstract: India has the potential to become a globally competitive electronics equipment and semiconductor manufacturing location. By Philip Koh Recommendations

More information

Power Management Semiconductors: A Preliminary Look

Power Management Semiconductors: A Preliminary Look Market Analysis Power Management Semiconductors: A Preliminary Look Abstract: As a key enabler of electronics, power management semiconductors remain fragmented. Benefit from a look into the preliminary

More information

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING Henry H. Utsunomiya Interconnection Technologies, Inc. Suwa City, Nagano Prefecture, Japan henryutsunomiya@mac.com ABSTRACT This presentation will outline

More information

Indicators Point to Sustainable Semiconductor Market Recovery

Indicators Point to Sustainable Semiconductor Market Recovery Gartner Dataquest Alert Indicators Point to Sustainable Semiconductor Market Recovery Positive leading indicators out of Asia/Pacific and Japan, as well as improved guidance from selected U.S. and European

More information

B. Flip-Chip Technology

B. Flip-Chip Technology B. Flip-Chip Technology B1. Level 1. Introduction to Flip-Chip techniques B1.1 Why flip-chip? In the development of packaging of electronics the aim is to lower cost, increase the packaging density, improve

More information

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative POSSUM TM Die Design as a Low Cost 3D Packaging Alternative The trend toward 3D system integration in a small form factor has accelerated even more with the introduction of smartphones and tablets. Integration

More information

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004 ASML Market dynamics Dave Chavoustie EVP Sales Analyst Day, September 30, 2004 Agenda! Market Overview! Growth Opportunities! 300mm Market! Asia Overview / Slide 2 ASML Unit Market Share Trend 60% 12 &

More information

The Future of Packaging ~ Advanced System Integration

The Future of Packaging ~ Advanced System Integration The Future of Packaging ~ Advanced System Integration Enabling a Microelectronic World R. Huemoeller SVP, Adv. Product / Platform Develop June 2013 Product Segments End Market % Share Summary 2 New Product

More information

2010 IRI Annual Meeting R&D in Transition

2010 IRI Annual Meeting R&D in Transition 2010 IRI Annual Meeting R&D in Transition U.S. Semiconductor R&D in Transition Dr. Peter J. Zdebel Senior VP and CTO ON Semiconductor May 4, 2010 Some Semiconductor Industry Facts Founded in the U.S. approximately

More information

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538 Innovations Push Package-on-Package Into New Markets by Flynn Carson STATS ChipPAC Inc. 47400 Kato Rd Fremont, CA 94538 Copyright 2010. Reprinted from Semiconductor International, April 2010. By choosing

More information

SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK

SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK Factbook 2014 SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK INTRODUCTION The data included in the 2014 SIA Factbook helps demonstrate the strength and promise of the U.S. semiconductor industry and why it

More information

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division Semiconductor and LED Markets Jon Sabol Vice President and General Manager Semiconductor and LED Division Semiconductor & LED Investing in Semiconductor and LED $ Millions 300 200 27% CAGR 100 0 * FY06

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President

TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President Corporate Background Founded in 1987 and headquartered in Austin, Texas Recognized around the world as a leading consulting

More information

The Role of Flip Chip Bonding in Advanced Packaging David Pedder

The Role of Flip Chip Bonding in Advanced Packaging David Pedder The Role of Flip Chip Bonding in Advanced Packaging David Pedder David Pedder Associates Stanford in the Vale Faringdon Oxfordshire The Role of Flip Chip Bonding in Advanced Packaging Outline Flip Chip

More information

Chapter 2. Literature Review

Chapter 2. Literature Review Chapter 2 Literature Review 2.1 Development of Electronic Packaging Electronic Packaging is to assemble an integrated circuit device with specific function and to connect with other electronic devices.

More information

!"#$"%&' ()#*+,-+.&/0(

!#$%&' ()#*+,-+.&/0( !"#$"%&' ()#*+,-+.&/0( Multi Chip Modules (MCM) or Multi chip packaging Industry s first MCM from IBM. Generally MCMs are horizontal or two-dimensional modules. Defined as a single unit containing two

More information

4Q03 Update: Semiconductor Capital and Equipment Spending

4Q03 Update: Semiconductor Capital and Equipment Spending Forecast Analysis 4Q03 Update: Semiconductor Capital and Equipment Spending Abstract: Industry fundamentals have strengthened, but a lack of business confidence is impacting capital equipment spending.

More information

Fan-Out Wafer Level Packaging Patent Landscape Analysis

Fan-Out Wafer Level Packaging Patent Landscape Analysis Fan-Out Wafer Level Packaging Patent Landscape Analysis Source: Infineon Source: TSMC Source: ASE November 2016 Source: Deca Technologies Source: STATS ChipPAC Source: Nepes KnowMade Patent & Technology

More information

An Introduction to Electronics Systems Packaging. Prof. G. V. Mahesh. Department of Electronic Systems Engineering

An Introduction to Electronics Systems Packaging. Prof. G. V. Mahesh. Department of Electronic Systems Engineering An Introduction to Electronics Systems Packaging Prof. G. V. Mahesh Department of Electronic Systems Engineering India Institute of Science, Bangalore Module No. # 02 Lecture No. # 08 Wafer Packaging Packaging

More information

Fan-Out Wafer Level Packaging Patent Landscape Analysis

Fan-Out Wafer Level Packaging Patent Landscape Analysis Fan-Out Wafer Level Packaging Patent Landscape Analysis Source: Infineon Source: TSMC Source: ASE November 2016 Source: Deca Technologies Source: STATS ChipPAC Source: Nepes KnowMade Patent & Technology

More information

Lithography in our Connected World

Lithography in our Connected World Lithography in our Connected World SEMI Austin Spring Forum TOP PAN P R INTING CO., LTD MATER IAL SOLUTIONS DIVISION Toppan Printing Co., LTD A Broad-Based Global Printing Company Foundation: January 17,

More information

ARCHIVE Brandon Prior Senior Consultant Prismark Partners ABSTRACT

ARCHIVE Brandon Prior Senior Consultant Prismark Partners ABSTRACT ARCHIVE 2010 LOW COST, SMALL FORM FACTOR PACKAGING by Brandon Prior Senior Consultant Prismark Partners W ABSTRACT hile size reduction and performance improvement are often the drivers of new package and

More information

Property right statement: Copyright of charts, tables and sentences in this report belongs to

Property right statement: Copyright of charts, tables and sentences in this report belongs to The Vertical Portal for China Business Intelligence. Semiconductor Equipment Industry Report, 2009 Nov/2009 Property right statement: Copyright of charts, tables and sentences in this report belongs to

More information

3D ICs: Recent Advances in the Industry

3D ICs: Recent Advances in the Industry 3D ICs: Recent Advances in the Industry Suresh Ramalingam Senior Director, Advanced Packaging Outline 3D IC Background 3D IC Technology Development Summary Acknowledgements Stacked Silicon Interconnect

More information

Electroless Bumping for 300mm Wafers

Electroless Bumping for 300mm Wafers Electroless Bumping for 300mm Wafers T. Oppert Internepcon 2006 Tokyo Big Sight, Japan Outline Short Company Profile Electroless Ni/Au Under Bump Metallization UBM for Copper Devices Solder Bumping: Stencil

More information

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Peter De Dobbelaere Luxtera Inc. 09/19/2016 Luxtera Proprietary www.luxtera.com Luxtera Company Introduction $100B+ Shift

More information

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007 Packaging Roadmap: The impact of miniaturization Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007 The Challenges for the Next Decade Addressing the consumer experience using the converged

More information

A European Perspective for Electronic Industry in Latin America

A European Perspective for Electronic Industry in Latin America A European Perspective for Electronic Industry in Latin America François Guibert Corporate Vice President, Emerging Markets Region General Manager Electronic, a Global World Security Networking Consumer

More information

Adaptive Patterning. ISS 2019 January 8th

Adaptive Patterning. ISS 2019 January 8th Creating a system to balance natural variation ISS 2019 January 8th Tim Olson Founder & CTO Let s start with an industry perspective Historically, three distinct electronic industry silos Foundries SATS

More information

Silicon Interposers enable high performance capacitors

Silicon Interposers enable high performance capacitors Interposers between ICs and package substrates that contain thin film capacitors have been used previously in order to improve circuit performance. However, with the interconnect inductance due to wire

More information

23. Packaging of Electronic Equipments (2)

23. Packaging of Electronic Equipments (2) 23. Packaging of Electronic Equipments (2) 23.1 Packaging and Interconnection Techniques Introduction Electronic packaging, which for many years was only an afterthought in the design and manufacture of

More information

Fraunhofer IZM - ASSID

Fraunhofer IZM - ASSID FRAUNHOFER-INSTITUT FÜR Zuverlässigkeit und Mikrointegration IZM Fraunhofer IZM - ASSID All Silicon System Integration Dresden Heterogeneous 3D Wafer Level System Integration 3D system integration is one

More information

Flexline - A Flexible Manufacturing Method for Wafer Level Packages (Extended Abstract)

Flexline - A Flexible Manufacturing Method for Wafer Level Packages (Extended Abstract) Flexline - A Flexible Manufacturing Method for Wafer Level Packages (Extended Abstract) by Tom Strothmann, *Damien Pricolo, **Seung Wook Yoon, **Yaojian Lin STATS ChipPAC Inc.1711 W Greentree Drive Tempe,

More information

Land Grid Array (LGA) Low Inductance Capacitor Advantages in Military and Aerospace Applications

Land Grid Array (LGA) Low Inductance Capacitor Advantages in Military and Aerospace Applications Land Grid Array (LGA) Low Inductance Capacitor Advantages in Military and Aerospace Applications A B S T R A C T : The benefits of Land Grid Array (LGA) capacitors and superior low inductance performance

More information

Yole Developpement. Developpement-v2585/ Publisher Sample

Yole Developpement.  Developpement-v2585/ Publisher Sample Yole Developpement http://www.marketresearch.com/yole- Developpement-v2585/ Publisher Sample Phone: 800.298.5699 (US) or +1.240.747.3093 or +1.240.747.3093 (Int'l) Hours: Monday - Thursday: 5:30am - 6:30pm

More information

Tape Automated Bonding

Tape Automated Bonding Tape Automated Bonding Introduction TAB evolved from the minimod project begun at General Electric in 1965, and the term Tape Automated Bonding was coined by Gerard Dehaine of Honeywell Bull in 1971. The

More information

Unlocking Unexploited Opportunities in the Chinese Foundry

Unlocking Unexploited Opportunities in the Chinese Foundry Research Brief Unlocking Unexploited Opportunities in the Chinese Foundry Abstract: China must overcome self-inflicted and politically instigated impediments to competitively strengthen its foundry s core

More information

2.5D Platform (Examples of products produced to date are shown here to demonstrate Amkor's production capabilities)

2.5D Platform (Examples of products produced to date are shown here to demonstrate Amkor's production capabilities) Wafer Finishing & Flip Chip Stacking interconnects have emerged to serve a wide range of 2.5D- & 3D- packaging applications and architectures that demand very high performance and functionality at the

More information

Advanced Packaging - Pulsed-laser Heating for Flip Chip Assembly

Advanced Packaging - Pulsed-laser Heating for Flip Chip Assembly Page 1 of 5 Pulsed-laser Heating for Flip Chip Assembly A stress-free alternative By Thorsten Teutsch, Ph.D., Pac Tech USA, Elke Zakel, Ph.D., and Ghassem Azdasht, Pac Tech GmbH As flip chip applications

More information

Two major features of this text

Two major features of this text Two major features of this text Since explanatory materials are systematically made based on subject examination questions, preparation

More information

SiP packaging technology of intelligent sensor module. Tony li

SiP packaging technology of intelligent sensor module. Tony li SiP packaging technology of intelligent sensor module Tony li 2016.9 Contents What we can do with sensors Sensor market trend Challenges of sensor packaging SiP technology to overcome challenges Overview

More information

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology 3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology by Seung Wook Yoon, *K. T. Kang, W. K. Choi, * H. T. Lee, Andy C. B. Yong and Pandi C. Marimuthu STATS ChipPAC LTD, 5 Yishun Street

More information

A Technique for Improving the Yields of Fine Feature Prints

A Technique for Improving the Yields of Fine Feature Prints A Technique for Improving the Yields of Fine Feature Prints Dr. Gerald Pham-Van-Diep and Frank Andres Cookson Electronics Equipment 16 Forge Park Franklin, MA 02038 Abstract A technique that enhances the

More information

TECHNICAL REPORT: CVEL AN OVERVIEW OF ADVANCED ELECTRONIC PACKAGING TECHNOLOGY. Hocheol Kwak and Dr. Todd Hubing

TECHNICAL REPORT: CVEL AN OVERVIEW OF ADVANCED ELECTRONIC PACKAGING TECHNOLOGY. Hocheol Kwak and Dr. Todd Hubing TECHNICAL REPORT: CVEL-07-001 AN OVERVIEW OF ADVANCED ELECTRONIC PACKAGING TECHNOLOGY Hocheol Kwak and Dr. Todd Hubing May 1, 2007 EXECUTIVE SUMMARY This report reviews recent and future trends in electronic

More information

Abstract. Key words: Interconnections, wire bonding, Ball Grid Arrays, metallization

Abstract. Key words: Interconnections, wire bonding, Ball Grid Arrays, metallization Integrated Solutions to Bonding BGA Packages: Capillary, Wire, and Machine Considerations by Leroy Christie, Director Front Line Process Engineering AMKOR Electronics 1900 South Price Road, Chandler, Az

More information

European Enterprises Should Delay a Deployment

European Enterprises Should Delay a Deployment Strategic Planning, S. Real Research Note 3 April 2003 European Enterprises Should Delay 802.11a Deployment Inconsistent regulations and an immature standard mean enterprises should not deploy 802.11a

More information

CHAPTER 11: Testing, Assembly, and Packaging

CHAPTER 11: Testing, Assembly, and Packaging Chapter 11 1 CHAPTER 11: Testing, Assembly, and Packaging The previous chapters focus on the fabrication of devices in silicon or the frontend technology. Hundreds of chips can be built on a single wafer,

More information

Semiconductor Process Diagnosis and Prognosis for DSfM

Semiconductor Process Diagnosis and Prognosis for DSfM Semiconductor Process Diagnosis and Prognosis for DSfM Department of Electronic Engineering Prof. Sang Jeen Hong Nov. 19, 2014 1/2 Agenda 1. Semiconductor Manufacturing Industry 2. Roles of Semiconductor

More information

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November 2006 Forward Looking Statement The presentation today may

More information

Chip Assembly on MID (Molded Interconnect Device) A Path to Chip Modules with increased Functionality

Chip Assembly on MID (Molded Interconnect Device) A Path to Chip Modules with increased Functionality T e c h n o l o g y Dr. Werner Hunziker Chip Assembly on MID (Molded Interconnect Device) A Path to Chip Modules with increased Functionality The MID (Molded Interconnect Device) technology enables the

More information

The European Semiconductor industry: 2005 Competitiveness Report. DG Enterprise

The European Semiconductor industry: 2005 Competitiveness Report. DG Enterprise The European Semiconductor industry: 2005 Competitiveness Report DG Enterprise EU presentation, Brussels, September 1, 2005 1 EU presentation, Brussels, September 1, 2005 2 EU presentation, Brussels, September

More information

GF705 MagnetoResistive Magnetic Field Sensor

GF705 MagnetoResistive Magnetic Field Sensor The is a magnetic field sensor based on the multilayer Giant MagnetoResistive (GMR) effect. The Sensor contains a Wheatstone bridge with on-chip flux concentrators to improve the sensitivity. The sensor

More information

"Low Cost Electroless Bumping for Ultra Fine Pitch Applications in 8" and 12" Wafers"

Low Cost Electroless Bumping for Ultra Fine Pitch Applications in 8 and 12 Wafers 1 "Low Cost Electroless Bumping for Ultra Fine Pitch Applications in 8" and 12" Wafers" Elke Zakel, Thomas Oppert, Ghassem Azdasht, Thorsten Teutsch * Pac Tech Packaging Technologies GmbH Am Schlangenhorst

More information

MEMS On-wafer Evaluation in Mass Production Testing At the Earliest Stage is the Key to Lowering Costs

MEMS On-wafer Evaluation in Mass Production Testing At the Earliest Stage is the Key to Lowering Costs MEMS On-wafer Evaluation in Mass Production Testing At the Earliest Stage is the Key to Lowering Costs Application Note Recently, various devices using MEMS technology such as pressure sensors, accelerometers,

More information

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

ISSCC 2003 / SESSION 1 / PLENARY / 1.1 ISSCC 2003 / SESSION 1 / PLENARY / 1.1 1.1 No Exponential is Forever: But Forever Can Be Delayed! Gordon E. Moore Intel Corporation Over the last fifty years, the solid-state-circuits industry has grown

More information

TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions

TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions Seung Wook YOON, D.J. Na, *K. T. Kang, W. K. Choi, C.B. Yong, *Y.C. Kim and Pandi C. Marimuthu STATS ChipPAC Ltd.

More information

(12) United States Patent (10) Patent No.: US 6,387,795 B1

(12) United States Patent (10) Patent No.: US 6,387,795 B1 USOO6387795B1 (12) United States Patent (10) Patent No.: Shao (45) Date of Patent: May 14, 2002 (54) WAFER-LEVEL PACKAGING 5,045,918 A * 9/1991 Cagan et al.... 357/72 (75) Inventor: Tung-Liang Shao, Taoyuan

More information

Europe's Standard Shows Way Forward for Private Mobile Radio

Europe's Standard Shows Way Forward for Private Mobile Radio Research Brief Europe's Standard Shows Way Forward for Private Mobile Radio Abstract: Terrestrial Trunked Radio (TETRA) was developed as a standard for the radio networks of emergency services. Improved

More information

Keysight Technologies MEMS On-wafer Evaluation in Mass Production

Keysight Technologies MEMS On-wafer Evaluation in Mass Production Keysight Technologies MEMS On-wafer Evaluation in Mass Production Testing at the Earliest Stage is the Key to Lowering Costs Application Note Introduction Recently, various devices using MEMS technology

More information

Display Materials and Components Report - Glass Slimming 2013

Display Materials and Components Report - Glass Slimming 2013 Display Materials and Components Report - Glass Slimming 2013 May 2013 Doo.Kim@ihs.com www.displaybank.com 1/130 No material contained in this report may be reproduced in whole or in part without the express

More information

Global Image Sensor Market with Focus on Automotive CMOS Sensors: Industry Analysis & Outlook ( )

Global Image Sensor Market with Focus on Automotive CMOS Sensors: Industry Analysis & Outlook ( ) Industry Research by Koncept Analytics Global Image Sensor Market with Focus on Automotive CMOS Sensors: Industry Analysis & Outlook ----------------------------------------- (2017-2021) October 2017 Global

More information

Technology Development & Integration Challenges for Lead Free Implementation. Vijay Wakharkar. Assembly Technology Development Intel Corporation

Technology Development & Integration Challenges for Lead Free Implementation. Vijay Wakharkar. Assembly Technology Development Intel Corporation Technology Development & Integration Challenges for Lead Free Implementation Vijay Wakharkar Assembly Technology Development Intel Corporation Legal Information THIS DOCUMENT AND RELATED MATERIALS AND

More information

It s Time for 300mm Prime

It s Time for 300mm Prime It s Time for 300mm Prime Iddo Hadar Managing Director, 300mm Prime Program Office SEMI Strategic Business Conference Napa Valley, California Tuesday, April 24, 2007 Safe Harbor Statement This presentation

More information

9 CHIP BONDING AT THE FIRST LEVEL

9 CHIP BONDING AT THE FIRST LEVEL 9 CHIP BONDING AT THE FIRST LEVEL The I/O interface to the die primarily interconnects electrical power, ground and signals. It must provide for low impedance for the power distribution system, so as to

More information

Changing the Approach to High Mask Costs

Changing the Approach to High Mask Costs Changing the Approach to High Mask Costs The ever-rising cost of semiconductor masks is making low-volume production of systems-on-chip (SoCs) economically infeasible. This economic reality limits the

More information

Rise and Fall of Japanese Semiconductors

Rise and Fall of Japanese Semiconductors Episode 20 Rise and Fall of Japanese Semiconductors We do not see other industries in which technology innovation is so intense, and market fluctuation is so drastic like semiconductors, do we? Caused

More information

Sectional Design Standard for High Density Interconnect (HDI) Printed Boards

Sectional Design Standard for High Density Interconnect (HDI) Printed Boards IPC-2226 ASSOCIATION CONNECTING ELECTRONICS INDUSTRIES Sectional Design Standard for High Density Interconnect (HDI) Printed Boards Developed by the HDI Design Subcommittee (D-41) of the HDI Committee

More information

San Diego, CA, June 11 to 14, 2006

San Diego, CA, June 11 to 14, 2006 To Advance Wafer Test Technology To Serve and Inform the Wafer Test Professional To Boldly Go Where No Workshop Has Gone Before San Diego, CA, June 11 to 14, 2006 16th Annual SWTW Probe Year In Review

More information

Comparative Analyses between Bare Cu Wire and Palladium Coated Cu Wire Performance in IC Packaging Assembly

Comparative Analyses between Bare Cu Wire and Palladium Coated Cu Wire Performance in IC Packaging Assembly Comparative Analyses between Bare Cu Wire and Palladium Coated Cu Wire Performance in IC Packaging Assembly Dr. Jerome Palaganas NANOTECH Solutions, Inc. jerome@satech8.com ABSTRACT Cu wirebonding has

More information

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09 Study Number MA108-09 August 2009 Copyright Semico Research, 2009. All rights reserved. Reproduction in whole or part is prohibited without permission of Semico. The contents of this report represent

More information

Markets for On-Chip and Chip-to-Chip Optical Interconnects 2015 to 2024 January 2015

Markets for On-Chip and Chip-to-Chip Optical Interconnects 2015 to 2024 January 2015 Markets for On-Chip and Chip-to-Chip Optical Interconnects 2015 to 2024 January 2015 Chapter One: Introduction Page 1 1.1 Background to this Report CIR s last report on the chip-level optical interconnect

More information

02 SQUARE ENIX To Our Shareholders. A Fundamental Industry Change from Evolution in Network Technology. Yoichi Wada

02 SQUARE ENIX To Our Shareholders. A Fundamental Industry Change from Evolution in Network Technology. Yoichi Wada 02 SQUARE ENIX 2004 To Our Shareholders President and Representative Director Yoichi Wada Square Enix Co., Ltd. is proud to present its first annual report for fiscal 2003, ended March 31, 2004, following

More information

WLP User's Guide. CMOS IC Application Note. Rev.1.0_03. ABLIC Inc., 2014

WLP User's Guide. CMOS IC Application Note. Rev.1.0_03. ABLIC Inc., 2014 CMOS IC Application Note WLP User's Guide ABLIC Inc., 2014 This document is a reference manual that describes the handling of the mounting of super-small WLP (Wafer Level Package) for users in the semiconductor

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing The Intel Lithography Roadmap A compiled version of all papers from this issue

More information

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel Paolo A. Gargini Director Technology Strategy Intel Fellow 1 Agenda 2-year cycle Copy Exactly Conclusions 2 I see no reason

More information

SWTW 2000, June Assessing Pad Damage and Bond Integrity for Fine Pitch Probing

SWTW 2000, June Assessing Pad Damage and Bond Integrity for Fine Pitch Probing SWTW 2000, June 11-14 Assessing Pad Damage and Bond Integrity for Fine Pitch Probing Dean Gahagan, Pyramid Probe Division, Cascade Microtech & Lee Levine, Kulicke & Soffa Industries Challenges of die shrinks

More information

BUILDING A VISION FOR THE EUROPEAN SEMICONDUCTOR INDUSTRY

BUILDING A VISION FOR THE EUROPEAN SEMICONDUCTOR INDUSTRY BUILDING A VISION FOR THE EUROPEAN SEMICONDUCTOR INDUSTRY A STRATEGIC PROGRAM TO PROTECT AND STRENGTHEN CORE BUSINESSES DECEMBER 2009 CONFIDENTIALITY Our clients industries are extremely competitive. The

More information

Brief Introduction of Sigurd IC package Assembly

Brief Introduction of Sigurd IC package Assembly Brief Introduction of Sigurd IC package Assembly Content Package Development Trend Product Brief Sawing type QFN Representative MEMS Product LGA Light Sensor Proximity Sensor High Yield Capability Low

More information

Critical Communications State of the Play

Critical Communications State of the Play Critical Communications State of the Play Mladen Vratonjić, Chairman mladen.vratonjic@tcca.info Control Rooms Use Critical Communications CRITICAL COMMUNICATIONS are the ones that are vital for performing

More information

Technology Trends and Future History of Semiconductor Packaging Substrate Material

Technology Trends and Future History of Semiconductor Packaging Substrate Material Review 6 Technology Trends and Future History of Semiconductor Packaging Substrate Material Yoshihiro Nakamura Advanced Performance Materials Operational Headquarters Advanced Core Materials Business Sector

More information

The Future of Packaging and Cu Wire Bonding Advances. Ivy Qin

The Future of Packaging and Cu Wire Bonding Advances. Ivy Qin The Future of Packaging and Cu Wire Bonding Advances Ivy Qin Introduction Semiconductors have been around for over 70 years Packaging is playing a more and more important role, providing low cost high

More information

Enabling concepts: Packaging Technologies

Enabling concepts: Packaging Technologies Enabling concepts: Packaging Technologies Ana Collado / Liam Murphy ESA / TEC-EDC 01/10/2018 ESA UNCLASSIFIED - For Official Use Enabling concepts: Packaging Technologies Drivers for the future: Higher

More information

Semiconductor Industry Perspective

Semiconductor Industry Perspective Semiconductor Industry Perspective National Academy of Engineering Workshop on the Offshoring of Engineering Washington, D.C. October 25, 2006 Dr. Robert Doering Texas Instruments, Inc. A Few Introductory

More information

Y669 International Political Economy. Jeffrey Hart November 12, 2010

Y669 International Political Economy. Jeffrey Hart November 12, 2010 Y669 International Political Economy Jeffrey Hart November 12, 2010 Economics in the American School of IPE Political scientists have an inferiority complex when it comes to economics. Even such notables

More information

Flip-Chip for MM-Wave and Broadband Packaging

Flip-Chip for MM-Wave and Broadband Packaging 1 Flip-Chip for MM-Wave and Broadband Packaging Wolfgang Heinrich Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH) Berlin / Germany with contributions by F. J. Schmückle Motivation Growing markets

More information

Visual & Virtual Configure-Price-Quote (CPQ) Report. June 2017, Version Novus CPQ Consulting, Inc. All Rights Reserved

Visual & Virtual Configure-Price-Quote (CPQ) Report. June 2017, Version Novus CPQ Consulting, Inc. All Rights Reserved Visual & Virtual Configure-Price-Quote (CPQ) Report June 2017, Version 2 2017 Novus CPQ Consulting, Inc. All Rights Reserved Visual & Virtual CPQ Report As of April 2017 About this Report The use of Configure-Price-Quote

More information

Integrated Photonics using the POET Optical InterposerTM Platform

Integrated Photonics using the POET Optical InterposerTM Platform Integrated Photonics using the POET Optical InterposerTM Platform Dr. Suresh Venkatesan CIOE Conference Shenzhen, China Sept. 5, 2018 POET Technologies Inc. TSXV: PUBLIC POET PTK.V Technologies Inc. PUBLIC

More information

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation West Coast Luncheon January 15, 2014. PROMEX PROMEX INDUSTRIES INC. MICROELECTRONICS ASSSEMBLY TECHNOLOGIES The QFN Platform as a Chip Packaging Foundation 3075 Oakmead Village Drive Santa Clara CA Ɩ 95051

More information

David B. Miller Vice President & General Manager September 28, 2005

David B. Miller Vice President & General Manager September 28, 2005 Electronic Technologies Business Overview David B. Miller Vice President & General Manager September 28, 2005 Forward Looking Statement During the course of this meeting we may make forward-looking statements.

More information

Low Thermal Resistance Flip-Chip Bonding of 850nm 2-D VCSEL Arrays Capable of 10 Gbit/s/ch Operation

Low Thermal Resistance Flip-Chip Bonding of 850nm 2-D VCSEL Arrays Capable of 10 Gbit/s/ch Operation Low Thermal Resistance Flip-Chip Bonding of 85nm -D VCSEL Arrays Capable of 1 Gbit/s/ch Operation Hendrik Roscher In 3, our well established technology of flip-chip mounted -D 85 nm backside-emitting VCSEL

More information

Laminate Based Fan-Out Embedded Die Technologies: The Other Option

Laminate Based Fan-Out Embedded Die Technologies: The Other Option Laminate Based Fan-Out Embedded Die Technologies: The Other Option Theodore (Ted) G. Tessier, Tanja Karila*, Tuomas Waris*, Mark Dhaenens and David Clark FlipChip International, LLC 3701 E University Drive

More information

CHINA STRONG PROMOTION OF SEMICONDUCTOR INDUSTRY PROACTIVE APPROACH WITH POWER DEVICES

CHINA STRONG PROMOTION OF SEMICONDUCTOR INDUSTRY PROACTIVE APPROACH WITH POWER DEVICES 1 CHINA STRONG PROMOTION OF SEMICONDUCTOR INDUSTRY PROACTIVE APPROACH WITH POWER DEVICES Technology Studies Dept. II, Mitsui Global Strategic Studies Institute Noriyasu Ninagawa INTRODUCTION PROMOTING

More information

ESDA14V2-1BF3. Single-line bidirectional Transil array for ESD protection. Features. Applications. Description. Complies with the following standards

ESDA14V2-1BF3. Single-line bidirectional Transil array for ESD protection. Features. Applications. Description. Complies with the following standards Single-line bidirectional Transil array for ESD protection Features ESD Protection: IEC61000-4-2 level 4 Low leakage current Very small PCB area < 0.4 mm² 400 micron pitch Complies with the following standards

More information