;;;;;;; Variables ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; cblock Bank0RAM ;Temporary storage for STATUS during interrupts

Size: px
Start display at page:

Download ";;;;;;; Variables ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; cblock Bank0RAM ;Temporary storage for STATUS during interrupts"

Transcription

1 TotPrgm2 Senior Design Program for Total Project (LED and Motor Control) Hayden Callender list P=PIC16F877, F=INHX8M, C=160, N=77, ST=OFF, MM=OFF, R=DEC, X=OFF #include P16F877.inc config(_cp_off & _PWRTE_ON & _XT_OSC & _WDT_OFF & _BODEN_OFF) Equates Bank0RAM equ H'20' Start of Bank 0 RAM area MaxCount1 equ 150 Number of loops in half a second for LED MaxCount2 equ 250 Number of loops in half a second for PWM TenMsH equ 13 TenMsL equ 250 Variables cblock Bank0RAM W_TEMP STATUS_TEMP BLNKCNT TEMP Temporary storage for W during interrupts Temporary storage for STATUS during interrupts Loop counter for blinking LEDs every half sec. Temporary variable for BlinkTable subroutine TEMP1 TEMP2 LOOPTIME DESIRED DESIRED2 ACTUAL CENTERTIME LEFTLIMIT RL LL DESIREDDIG DESIREDDIG2 COUNT PULSECOUNT PULSE DUTYCYCLE1 DUTYCYCLE2 DIRECTION PDELAY COUNTH COUNTL COPYD endc Macro definitions MOVLF macro literal,dest movlw literal movwf dest endm MOVFF macro source,dest movf source,w movwf dest endm

2 Vectors org H'000' Reset vector nop nop goto Mainline Branch past tables org H'004' Interrupt vector goto IntService Branch to interrupt service routine BlinkTable subroutine This subroutine reads PORTD and retains only the upper three LED bits. It uses them to access table. It s in W the bits of PORTD to be toggled. BlinkTable MOVFF PORTD,W Copy present state of LEDs to TEMP andlw B' ' Keep only bits to be shifted addwf PCL,F Change PC with PCLATH and offset in W retlw B' ' 0000->0001 forward (initialize) retlw B' ' 0001->0010 to right retlw B' ' 0010->0100 to left retlw B' ' 0011->0001 reinitialize to forward retlw B' ' 0100->1000 to backward retlw B' ' 0101->0001 reinitialize to forward retlw B' ' 0110->0001 reinitialize to forward retlw B' ' 0111->0001 reinitialize to forward retlw B' ' 1000->0001 backward to forward retlw B' ' 1001->0001 reinitialize to forward retlw B' ' 1010->0001 reinitialize to forward retlw B' ' 1011->0001 reinitialize to forward retlw B' ' 1100->0001 reinitialize to forward retlw B' ' 1101->0001 reinitialize to forward retlw B' ' 1110->0001 reinitialize to forward retlw B' ' 1111->0001 reinitialize to forward End of Tables Mainline program Mainline call Initial Initialize everything MainLoop call Blink Blink upper 4 LEDs call LoopTime Force loop time to be ten milliseconds goto MainLoop LED's Blink Routine Initial subroutine This subroutine performs all initializations of variables and registers for LEDs. Initial Set register access to bank 1 MOVLF B' ',ADCON1 Select PORTA pins for ADC or digital I/O MOVLF B' ',TRISA Set I/O for PORTA MOVLF B' ',TRISB Set I/O for PORTB MOVLF B' ',TRISC Set I/O for PORTC clrf TRISD Set I/O for PORTD MOVLF B' ',TRISE Set I/O for PORTE MOVLF 249,PR2 Set up Timer2 for a looptime of 10 ms

3 Set register access back to bank 0 MOVLF B' ',T2CON Finish set up of Timer2 (see page 62) clrf PORTD Turn off LEDs MOVLF B' ',INTCON Enable RB0/INT interrupts (see page 98) Blink subroutine This subroutine blinks a new LED every 1 second. Blink decfsz BLNKCNT,F Decrement loop counter and if not zero goto BlinkEnd movlw MaxCount1 Reinitialize BLNKCNT movwf BLNKCNT call BlinkTable Get bits to change into W xorwf PORTD,F and toggle them into PORTD BlinkEnd LoopTime subroutine This subroutine waits for Timer2 to complete its ten millisecond count sequence. LoopTime Test if head-switch is pressed. If so, go to motor driver code bsf PORTD,5 bsf PORTD,0 btfsc PORTD,5 call Steering If not, continue with blinking LED's btfss PIR1,TMR2IF Check whether ten milliseconds are up goto LoopTime bcf PIR1,TMR2IF Clear flag movlw B' ' Toggle PORTA, bit 5 xorwf PORTA,F for testing loop time Motors' Driver Routine Motor Control duty M1 M2 75% frwd bkwd 25% bkwd frwd 50% stop stop NOTE: Above relative to the wheelchair!!! Steering

4 Steering Turn the contents of PORTD to the COPYD flag before continuing bcf PORTD,5 MOVLW PORTD MOVWF COPYD Initialize ADC Initialize MOVLF 2,DIRECTION initialize Direction to center clrf COUNT MOVLF B' ',TRISA setup PORTA for input MOVLF 0xCF,TRISB set PORTB data direction <0> input clrf TRISB clrf TRISC clrf TRISD clrf OPTION_REG set rising edge counting of Pulse bsf OPTION_REG,6 bcf PIE1,ADIE disable ADC interrupt clrf PORTA clrf PORTB MOVLF B' ',INTCON clrf PORTD MOVLF B' ',ADCON0 clrf ADRESH MOVLF B' ',ADCON1 bcf PIR1,ADIF clear conversion complete flag Initialize PWM InitPWM clrf TRISC set pin as output clrf TRISD movlw B' ' set PWM period movwf PR2 clrf CCPR1L bcf CCP1CON,5 bcf CCP1CON,4 bsf T2CON,2 start timer2 bcf PIR1,TMR2IF clear timer2 over flag bcf PIE1,TMR2IE disable TIMER2 interrupt movlw B' ' start PWM movwf CCP1CON clrf CCPR2L bcf CCP2CON,5 bcf CCP2CON,4 bsf T1CON,2 start timer1

5 bcf PIR1,TMR1IF clear timer1 over flag bcf PIE1,TMR1IE disable TIMER2 interrupt movlw B' ' start PWM movwf CCP2CON Test the bits of PORTD before the headswitch was pressed to let the program determing witch direction the client wishes to travel The test of PORTD will be done through the dummy flag COPYD. btfsc COPYD,0 call MoveFwd btfsc COPYD,1 call MoveRt btfsc COPYD,2 call MoveLt btfsc COPYD,3 call MoveBwd call Stop Move Forward MoveFwd ContFwd bsf PORTD,4 Light Stop LED MOVLF B' ',DUTYCYCLE1 Output M1 w/ duty cycle of 75% MOVLF B' ',DUTYCYCLE2 Output M2 w/ duty cycle of 25% call Delay2 call ContFwd If headswitch is hit again, continue goto Stop else stop Move Right MoveRt ContRt bsf PORTD,4 Light Stop LED MOVLF B' ',DUTYCYCLE1 Output M1 w/ duty cycle of 75% call Delay2 call ContRt If headswitch is hit again, continue goto Stop else stop Move Left MoveLt ContLt bsf PORTD,4 Light Stop LED MOVLF B' ',DUTYCYCLE2 Output M2 w/ duty cycle of 25%

6 call Delay2 call ContLt If headswitch is hit again, continue goto Stop else stop Move Backward MoveBwd ContBwd bsf PORTD,4 Light Stop LED MOVLF B' ',DUTYCYCLE1 Output M1 w/ duty cycle of 25% MOVLF B' ',DUTYCYCLE2 Output M2 w/ duty cycle of 75% call Delay2 call ContBwd If headswitch is hit again, continue goto Stop else stop Stop Stop MOVLF B' ',DUTYCYCLE1 Output M1 w/ duty cycle of 50% MOVLF B' ',DUTYCYCLE2 Output M2 w/ duty cycle of 50% bcf PORTD,4 Clear Stop led bsf PORTD,0 Light Forward led goto Mainline Set PWM SetPWM movwf DUTYCYCLE1 bcf CCP1CON,5 set duty cycle --> following lines btfsc DUTYCYCLE1,7 bsf CCP1CON,5 bcf CCP1CON,4 btfsc DUTYCYCLE1,6 bsf CCP1CON,4 movf DUTYCYCLE1,W movlw B' ' andwf DUTYCYCLE1,W movwf CCPR1L MOVWF DUTYCYCLE2 BCF CCP2CON,5 set duty cycle --> following lines BTFSC DUTYCYCLE2,7 BSF CCP2CON,5 BCF CCP2CON,4 BTFSC DUTYCYCLE2,6 BSF CCP2CON,4 MOVF DUTYCYCLE2,W MOVLW B' ' ANDWF DUTYCYCLE2,W MOVWF CCPR2L Delay Delay

7 nop movlw TenMsH movwf COUNTH movlw TenMsL movwf COUNTL DelayLoop decfsz COUNTL,F goto DelayLoop decfsz COUNTH,F goto DelayLoop Delay2 Delay2 call Delay decfsz PDELAY goto Delay2 nop IntService interrupt service routine This interrupt service routine fields all interrupts. It first sets aside W and STATUS. It assumes that direct addressing will not be used in the mainline code to access Bank 1 addresses (once the Initial subroutine has been executed and interrupts enabled). It polls each possible interrupt source to determine whether it needs service. IntService end

MicroToys Guide: Motors N. Pinckney April 2005

MicroToys Guide: Motors N. Pinckney April 2005 Introduction Three types of motors are applicable to small projects: DC brushed motors, stepper motors, and servo motors. DC brushed motors simply rotate in a direction dependent on the flow of current.

More information

Physics 335 Lab 7 - Microcontroller PWM Waveform Generation

Physics 335 Lab 7 - Microcontroller PWM Waveform Generation Physics 335 Lab 7 - Microcontroller PWM Waveform Generation In the previous lab you learned how to setup the PWM module and create a pulse-width modulated digital signal with a specific period and duty

More information

Hashemite University Faculty of Engineering Mechatronics Engineering Department. Microprocessors and Microcontrollers Laboratory

Hashemite University Faculty of Engineering Mechatronics Engineering Department. Microprocessors and Microcontrollers Laboratory Hashemite University Faculty of Engineering Mechatronics Engineering Department Microprocessors and Microcontrollers Laboratory The Hashemite University Faculty of Engineering Department of Mechatronics

More information

Hi Hsiao-Lung Chan Dept Electrical Engineering Chang Gung University, Taiwan

Hi Hsiao-Lung Chan Dept Electrical Engineering Chang Gung University, Taiwan Timers and CCP Modules Hi Hsiao-Lung Chan Dept Electrical Engineering Chang Gung University, Taiwan chanhl@mail.cgu.edu.twcgu PIC18 Timers Timer2, Timer4 8-bit timers use instruction cycle clock as the

More information

PROCESS. Object. Block diagram of our design. DISPLAY THE DISTANCE (7 segment display) PIC 16F873

PROCESS. Object. Block diagram of our design. DISPLAY THE DISTANCE (7 segment display) PIC 16F873 PROCESS ENERGIZE THE CIRCUIT PIC 16F873 DISPLAY THE DISTANCE (7 segment display) SIGNAL CONDITIONING AMPLIFYING SIGNAL (x1000) (40 db LM 741) + (20 db LM741) TRANSMITTING SIGNAL (murata MA40S T) ENVELOPE

More information

ELCT 912: Advanced Embedded Systems

ELCT 912: Advanced Embedded Systems ELCT 912: Advanced Embedded Systems Lecture 5: PIC Peripherals on Chip Dr. Mohamed Abd El Ghany, Department of Electronics and Electrical Engineering The PIC Family: Peripherals Different PICs have different

More information

Embedded Systems. Interfacing PIC with external devices Analog to digital Converter. Eng. Anis Nazer Second Semester

Embedded Systems. Interfacing PIC with external devices Analog to digital Converter. Eng. Anis Nazer Second Semester Embedded Systems Interfacing PIC with external devices Analog to digital Converter Eng. Anis Nazer Second Semester 2016-2017 What is the time? What is the time? Definition Analog: can take any value Digital:

More information

Triple Stage Incubator

Triple Stage Incubator Triple Stage Incubator Author: OVERVIEW Brian Iehl Hoffman Estates IL brian@dls.net This project is a triple stage incubator. Three separate incubators are simultaneously controlled by one microcontroller.

More information

TECHNICAL NOTE. A COMPACT ALGORITHM USING THE ADXL202 DUTY CYCLE OUTPUT by Harvey Weinberg

TECHNICAL NOTE. A COMPACT ALGORITHM USING THE ADXL202 DUTY CYCLE OUTPUT by Harvey Weinberg TECHNICAL NOTE ONE TECHNOLOGY WAYP.O. BOX 9106NORWOOD, MASSACHUSETTS 02062-9106781/329-4700 A COMPACT ALGORITHM USING THE ADXL202 DUTY CYCLE OUTPUT by Harvey Weinberg Introduction There are many applications

More information

Discrete Logic Replacement Garage Door Indicator

Discrete Logic Replacement Garage Door Indicator Garage Door Indicator Author: Brian Iehl Hoffman Estates, Illinois email: brian@dls.net / 4 MHz = 0.1 ma. The estimated battery life is then: 2550 ma Hr / 0.1 ma = 25500 hours. This is almost 3 years!

More information

GCE A level 1145/01 ELECTRONICS ET5. P.M. THURSDAY, 31 May hours. Centre Number. Candidate Number. Surname. Other Names

GCE A level 1145/01 ELECTRONICS ET5. P.M. THURSDAY, 31 May hours. Centre Number. Candidate Number. Surname. Other Names Surname Other Names Centre Number 0 Candidate Number GCE A level 1145/01 ELECTRONICS ET5 P.M. THURSDAY, 31 May 2012 1 1 2 hours For s use Question Maximum Mark Mark Awarded 1. 6 2. 9 3. 8 4. 6 1145 010001

More information

Mechatronics Project Kit - Getting Started Manual

Mechatronics Project Kit - Getting Started Manual Mechatronics Project Kit - Getting Started Manual 40-100-1 Mechatronics Project Kit Getting Started Manual 40-100-1 Feedback Feedback Instruments Ltd, Park Road, Crowborough, E. Sussex, TN6 2QR, UK. Telephone:

More information

EASTERN MEDITERRANEAN UNIVERSITY FACULTY OF ENGINEERING Electrical and Electronics Engineering Department

EASTERN MEDITERRANEAN UNIVERSITY FACULTY OF ENGINEERING Electrical and Electronics Engineering Department EASTERN MEDITERRANEAN UNIVERSITY FACULTY OF ENGINEERING Electrical and Electronics Engineering Department Fall 2003-2004 EEE 420 Project Report Ahmet Cem VARDAR 004245 Project Title: Heart Rate Monitor

More information

Microcontroller Based Inductance Capacitance Meter

Microcontroller Based Inductance Capacitance Meter Microcontroller Based Inductance Capacitance Meter MUDIT AGARWAL This is the Inductance / Capacitance Meters circuit. One can easily build this LC Meter measure inductances starting from mh to 00mH, µh

More information

Binary Outputs: LEDs

Binary Outputs: LEDs Diode Theory Binary Outputs: LEDs A diode allows current to flow in only one direction. A diode consists of a semiconductor pn junction: In Silicon, the number of free electrons is a constant: np n i 2

More information

GCE A level 1145/01 ELECTRONICS ET5

GCE A level 1145/01 ELECTRONICS ET5 Surname Other Names Centre Number 2 Candidate Number GCE A level 1145/01 ELECTRONICS ET5 A.M. WEDNESDAY, 12 June 2013 1½ hours ADDITIONAL MATERIALS In addition to this examination paper, you will need

More information

Sensor Interface Using PIC12CXXX as a Sensor Interface for Metal Detection

Sensor Interface Using PIC12CXXX as a Sensor Interface for Metal Detection Using PIC12CXXX as a Sensor Interface for Metal Detection Author: Vladimir Velchev AVEX - Vladimir Velchev Sofia, Bulgaria email:avex@iname.com APPLICATION OPERATION PIC12CXXX microcontroller can be used

More information

MICROBOARD ADVANCED MANUAL

MICROBOARD ADVANCED MANUAL MICROBOARD ADVANCED MANUAL Revised and edited by David Zeibin, Summer 2001 Based on documents by Ben Bathgate, Mike Cumming, Patrick Pilarski, and Paul Bartosek Special thanks to Dr Chris Backhouse HOW

More information

Stepper Motors & Look Up Table

Stepper Motors & Look Up Table tepper Motors & Look Up Table Unipolar (5 lead) stepper motor from www.mpj.com. stepper motor is a digital motor with two phases and 4, 5, or 6 leads. These leads connect to two sets of electromagets.

More information

GCE A level 1145/01 ELECTRONICS ET5

GCE A level 1145/01 ELECTRONICS ET5 Surname Centre Number Candidate Number Other Names 2 GCE A level 1145/01 ELECTRONICS ET5 S16-1145-01 A.M. FRIDAY, 17 June 2016 1 hour 30 minutes For s use ADDITIONAL MATERIALS In addition to this examination

More information

AN594. Using the CCP Modules. Using the CCP Modules CCP OPERATION. PWM Mode PWM MODE BLOCK DIAGRAM TABLE 1: CCP MODE - TIMER RESOURCE

AN594. Using the CCP Modules. Using the CCP Modules CCP OPERATION. PWM Mode PWM MODE BLOCK DIAGRAM TABLE 1: CCP MODE - TIMER RESOURCE Using the CCP Modules AN594 This application note discusses the operation of a Capture Compare and PWM (CCP) module, and the interaction of multiple CCP modules with the timer resources. The Capture Compare

More information

Lesson 19 In-Circuit Programming

Lesson 19 In-Circuit Programming Elmer 160 Lesson 19 Overview Lesson 19 Introduction When the designer makes a new circuit, there is often some time spent in developing the software for that circuit. Removing the PIC from the circuit

More information

Pulse Width Modulation

Pulse Width Modulation ECEn 621" Computer Arithmetic" Project Notes Week 1 Pulse Width Modulation 1 Pulse Width Modulation A method of regulating the amount of voltage delivered to a load. The average value of the voltage fed

More information

PIC Analog Voltage to PWM Duty Cycle

PIC Analog Voltage to PWM Duty Cycle Name Lab Section PIC Analog Voltage to PWM Duty Cycle Lab 5 Introduction: In this lab you will convert an analog voltage into a pulse width modulation (PWM) duty cycle. The source of the analog voltage

More information

IST TSic Temperature Sensor IC Application Notes ZACwire Digital Output

IST TSic Temperature Sensor IC Application Notes ZACwire Digital Output IST TSic Temperature Sensor IC ZACwire Digital Output CONTENTS 1 TSIC TM ZACWIRE TM COMMUNICATION PROTOCOL...2 1.1 TEMPERATURE TRANSMISSION PACKET FROM A TSIC TM...2 1.2 BIT ENCODING...3 1.3 HOW TO READ

More information

Department of Mechanical and Industrial Engineering MECH 471 MICROCONTROLLERS FOR MECHATRONICS. Laboratory Specialist

Department of Mechanical and Industrial Engineering MECH 471 MICROCONTROLLERS FOR MECHATRONICS. Laboratory Specialist Department of Mechanical and Industrial Engineering MECH 471 laboratory manual 2011 MICROCONTROLLERS FOR MECHATRONICS Belal M. Ibrahim Laboratory Specialist General Safety Rules Electric and electronic

More information

The Electronic Whoopie Cushion

The Electronic Whoopie Cushion The Electronic Whoopie Cushion Author: Michael Kirkhart Farmington Hills, Michigan email: kirkhart@rust.net APPLICATION OPERATION: Overview Most of us who have read the advertisements in comic books or

More information

Final Project Report E3390 Electronic Circuits Design Lab. RFID Access Control System. Jeffrey Mok Joseph Kim

Final Project Report E3390 Electronic Circuits Design Lab. RFID Access Control System. Jeffrey Mok Joseph Kim Final Project Report E3390 Electronic Circuits Design Lab RFID Access Control System Jeffrey Mok Joseph Kim Submitted in partial fulfillment of the requirements for the Bachelor of Science Degree May 11,

More information

Laboratory Exercise 1 Microcontroller Board with Driver Board

Laboratory Exercise 1 Microcontroller Board with Driver Board Laboratory Exercise 1 Microcontroller Board with Driver Board The purpose of this lab exercises is to demonstrate how the Microcontroller Board can be used to control motors connected to the Driver Board

More information

PIC ADC to PWM and Mosfet Low-Side Driver

PIC ADC to PWM and Mosfet Low-Side Driver Name Lab Section PIC ADC to PWM and Mosfet Low-Side Driver Lab 6 Introduction: In this lab you will convert an analog voltage into a pulse width modulation (PWM) duty cycle. The source of the analog voltage

More information

Simple Bridge Stand Alone H-Bridge Data Sheet Revision 1 August 2005

Simple Bridge Stand Alone H-Bridge Data Sheet Revision 1 August 2005 Simple Bridge Stand Alone H-Bridge Revision August 00 SOLUTIONS CUBED, LLC East First Street Chico, CA 99 phone: 0.9.0 fax: 0.9. www.solutions-cubed.com Copyright 00, LLC Simple Bridge Page Table of Contents.0

More information

GROAN DETECTOR SYSTEM

GROAN DETECTOR SYSTEM GROAN DETECTOR SYSTEM This project is what I call a groan detector. A friend referred a woman (Marie) to me whose husband (John) had been stricken with a stroke and became paralyzed. His mind is good,

More information

K7QO Marker Generator

K7QO Marker Generator K7QO Marker Generator The history of marker generators begins with the commercial receivers of the early beginnings of electronics. Typical short wave receivers came with two dials, one labeled tuning

More information

AN528. Implementing Wake-Up on Key Stroke. Implementing Wake-Up on Key Stroke INTRODUCTION IMPLEMENTATION FIGURE 1 - TWO KEY INTERFACE TO PIC16C5X

AN528. Implementing Wake-Up on Key Stroke. Implementing Wake-Up on Key Stroke INTRODUCTION IMPLEMENTATION FIGURE 1 - TWO KEY INTERFACE TO PIC16C5X AN58 INTRODUCTION In certain applications, the PIC16CXX is exercised only when a key is pressed, eg. remote keyless entry. In such applications, the battery life can be extended by putting the PIC16CXX

More information

FACT003. Care and Feeding of the PIC16C74 and Its Peripherals. A/D Converter Mysteries. Assumptions

FACT003. Care and Feeding of the PIC16C74 and Its Peripherals. A/D Converter Mysteries. Assumptions M FACT003 Care and Feeding of the PIC16C74 and Its Peripherals Author: The PIC16C74 is one of the latest mid-range microcontrollers from Microchip Technology Inc. In this article we will be addressing

More information

Development of a Matlab-Based Graphical User Interface Environment for PIC Microcontroller Projects

Development of a Matlab-Based Graphical User Interface Environment for PIC Microcontroller Projects Session 2220 Development of a Matlab-Based Graphical User Interface Environment for PIC Microcontroller Projects Sang-Hoon Lee, Yan-Fang Li, and Vikram Kapila Department of Mechanical, Aerospace, and Manufacturing

More information

Development of a Low Cost MPPT Circuit for Solar Panel

Development of a Low Cost MPPT Circuit for Solar Panel Development of a Low Cost MPPT Circuit for Solar Panel AN INTERNSHIP REPORT SUBMITTED TO THE DEPARTMENT OF MATHEMATICS AND NATURAL SCIENCES, BRAC UNIVERSITY IN PARTIAL FULFILMENT OF THE REQUIREMENTS FOR

More information

2015 Technological Studies. Advanced Higher. Finalised Marking Instructions

2015 Technological Studies. Advanced Higher. Finalised Marking Instructions 05 Technological Studies Advanced Higher Finalised Marking Instructions Scottish Qualifications Authority 05 The information in this publication may be reproduced to support SQA qualifications only on

More information

PIC16C925/ /68-Pin CMOS Microcontrollers with LCD Driver. High Performance RISC CPU: Analog Features: Special Microcontroller Features:

PIC16C925/ /68-Pin CMOS Microcontrollers with LCD Driver. High Performance RISC CPU: Analog Features: Special Microcontroller Features: 64/68-Pin CMOS Microcontrollers with LCD Driver High Performance RISC CPU: Only 35 single word instructions to learn All single cycle instructions except for program branches which are two-cycle Operating

More information

A MORON'S GUIDE TO TIMER/COUNTERS v2.2. by

A MORON'S GUIDE TO TIMER/COUNTERS v2.2. by A MORON'S GUIDE TO TIMER/COUNTERS v2.2 by RetroDan@GMail.com TABLE OF CONTENTS: 1. THE PAUSE ROUTINE 2. WAIT-FOR-TIMER "NORMAL" MODE 3. WAIT-FOR-TIMER "NORMAL" MODE (Modified) 4. THE TIMER-COMPARE METHOD

More information

8-BIT MICROCONTROLLER USER S MANUAL REVISION

8-BIT MICROCONTROLLER USER S MANUAL REVISION June 2006 GM1003P 8BIT MICROCONTROLLER USER S MANUAL REVISION 0.4 TABLE OF CONTENTS 1. PRODUCT OVERVIEW... 3 2. MEMORY ORGANIZATION... 13 3. INTERRUPT... 27 4. INSTRUCTIONS SET... 30 5. CLOCK CIRCUIT...

More information

Sound-Seeking Robot. Abstract. An E155 Design Project at Harvey Mudd College (Claremont, California) December 15, 2003 Alex Utter Chris Wottawa

Sound-Seeking Robot. Abstract. An E155 Design Project at Harvey Mudd College (Claremont, California) December 15, 2003 Alex Utter Chris Wottawa Sound-Seeking Robot An E155 Design Project at Harvey Mudd College (Claremont, California) December 15, 2003 Alex Utter Chris Wottawa Abstract A sound-seeking-robot was designed to navigate towards a specific

More information

AN654. PWM, a Software Solution for the PIC16CXXX METHODS INTRODUCTION

AN654. PWM, a Software Solution for the PIC16CXXX METHODS INTRODUCTION PWM, a Software Solution for the PIC16CXXX Author: Ole Röpcke Consultant, Europe INTRODUCTION The low cost, high performance features of a PIC16CXXX microcontroller make it a suitable device for automatic

More information

MCV18E Data Sheet. 18-Pin Flash Microcontroller Microchip Technology Inc. DS41399A

MCV18E Data Sheet. 18-Pin Flash Microcontroller Microchip Technology Inc. DS41399A Data Sheet 18-Pin Flash Microcontroller 2009 Microchip Technology Inc. DS41399A Note the following details of the code protection feature on Microchip devices: Microchip products meet the specification

More information

DEVELOPMENT OF A MICROCONTROLED IRRADIATION SYSTEM BASED ON LIGHT-EMITTING DIODES (LEDs) MATRIXES FOR PHOTOTHERAPY APPLICATIONS

DEVELOPMENT OF A MICROCONTROLED IRRADIATION SYSTEM BASED ON LIGHT-EMITTING DIODES (LEDs) MATRIXES FOR PHOTOTHERAPY APPLICATIONS DEVELOPMENT OF A MICROCONTROLED IRRADIATION SYSTEM BASED ON LIGHT-EMITTING DIODES (LEDs) MATRIXES FOR PHOTOTHERAPY APPLICATIONS Deborah Deah Assis Carneiro, Rafael Cruz Evangelista, Rozane de Fátima Turchiello,

More information

FM Tuner Controller for Portable and Car Radios

FM Tuner Controller for Portable and Car Radios WIRELESS AND REMOTE CONTROLLED PERSONAL APPLIANCE FM Tuner Controller for Portable and Car Radios Author: T. K. Mani Model Engineering College Cochin, India email: ihrdmec@md2.vsnl.net.in APPLICATION OPERATION

More information

PWM research and implementation on MCS-51

PWM research and implementation on MCS-51 PWM research and implementation on MCS-51 PWM approach provides an efficient way for gaining output control, as well as another approach named PFM is the other popular way. The principle of PWM is very

More information

' The PicBasic Pro Compiler Manual is on line at: '

' The PicBasic Pro Compiler Manual is on line at: ' ---------------Title-------------- File...4331_encoder4.pbp Started...1/10/10 Microcontroller Used: Microchip Technology 18F4331 Available at: http://www.microchipdirect.com/productdetails.aspx?category=pic18f4331

More information

AN701. Switch Mode Battery Eliminator Based on a PIC16C72A OVERVIEW HARDWARE. Analog-to-Digital Converter Module

AN701. Switch Mode Battery Eliminator Based on a PIC16C72A OVERVIEW HARDWARE. Analog-to-Digital Converter Module Switch Mode Battery Eliminator Based on a PIC16C72A Author: OVERVIEW The PIC16C72A is a member of the PICmicro Mid- Range Family of 8-bit, high-speed microcontrollers. The PIC16C72 provides the following

More information

A Ballistic Chronograph

A Ballistic Chronograph A Ballistic Chronograph Brandon Atkinson Steven Turner May 4, 2001 University of Maine ECE 403 Final Report Abstract The goal of the Ballistic Chronograph project was to create a device having the ability

More information

PIC16F Pin, 8-Bit CMOS FLASH Microcontroller. Devices Included in this Data Sheet: Pin Diagram. Microcontroller Core Features:

PIC16F Pin, 8-Bit CMOS FLASH Microcontroller. Devices Included in this Data Sheet: Pin Diagram. Microcontroller Core Features: 28-Pin, 8-Bit CMOS FLASH Microcontroller Devices Included in this Data Sheet: PIC16F872 Microcontroller Core Features: High-performance RISC CPU Only 35 single word instructions to learn All single cycle

More information

PIC16F716 Data Sheet. 8-bit Flash-based Microcontroller with A/D Converter and Enhanced Capture/Compare/PWM

PIC16F716 Data Sheet. 8-bit Flash-based Microcontroller with A/D Converter and Enhanced Capture/Compare/PWM Data Sheet 8-bit Flash-based Microcontroller with A/D Converter and Enhanced Capture/Compare/PWM 2003 Microchip Technology Inc. Preliminary DS41206A Note the following details of the code protection feature

More information

Electromechanical Timer Replacement Solutions Cubed Real-Time Clock

Electromechanical Timer Replacement Solutions Cubed Real-Time Clock Electromechanical Timer Replacement Solutions Cubed Real-Time Clock Author: OVERVIEW This design fragment is based upon converting an electromechanical timer idea to a PIC12CXXX 8-bit microcontroller.

More information

AN611. Resistance and Capacitance Meter Using a PIC16C622 INTRODUCTION. Microchip Technology Inc.

AN611. Resistance and Capacitance Meter Using a PIC16C622 INTRODUCTION. Microchip Technology Inc. M N611 Resistance and Capacitance Meter Using a PIC16C622 uthor: INTRODUCTION Rodger Richey Microchip Technology Inc. The PIC16C62X devices create a new branch in Microchip s PIC16CXXX 8bit microcontroller

More information

Electromechanical Switch Replacement

Electromechanical Switch Replacement Electromechanical Switch Replacement Electronic Key, Button Dimmer and Potentiometer Dimmer Controller Author: Slav Slavov Ell Sliven, Bulgaria email: ell@sliven.osf.acad.bg APPLICATION OPERATION These

More information

PIC16C712/716 Data Sheet

PIC16C712/716 Data Sheet Data Sheet 8-Bit CMOS Microcontrollers with A/D Converter and Capture/Compare/PWM 2005 Microchip Technology Inc. DS41106B Note the following details of the code protection feature on Microchip devices:

More information

PIC16F716 Data Sheet. 8-bit Flash-based Microcontroller with A/D Converter and Enhanced Capture/Compare/PWM

PIC16F716 Data Sheet. 8-bit Flash-based Microcontroller with A/D Converter and Enhanced Capture/Compare/PWM Data Sheet 8-bit Flash-based Microcontroller with A/D Converter and Enhanced Capture/Compare/PWM 2003 Microchip Technology Inc. Preliminary DS41206A Note the following details of the code protection feature

More information

PIC16F72 Data Sheet. 28-Pin, 8-Bit CMOS FLASH Microcontoller with A/D Converter Microchip Technology Inc. DS39597C

PIC16F72 Data Sheet. 28-Pin, 8-Bit CMOS FLASH Microcontoller with A/D Converter Microchip Technology Inc. DS39597C Data Sheet 28-Pin, 8-Bit CMOS FLASH Microcontoller with A/D Converter 2007 Microchip Technology Inc. DS39597C Note the following details of the code protection feature on Microchip devices: Microchip products

More information

EEL 4744C: Microprocessor Applications Lecture 8 Timer Dr. Tao Li

EEL 4744C: Microprocessor Applications Lecture 8 Timer Dr. Tao Li EEL 4744C: Microprocessor Applications Lecture 8 Timer Reading Assignment Software and Hardware Engineering (new version): Chapter 14 SHE (old version): Chapter 10 HC12 Data Sheet: Chapters 12, 13, 11,

More information

Reading Assignment. Timer. Introduction. Timer Overview. Programming HC12 Timer. An Overview of HC12 Timer. EEL 4744C: Microprocessor Applications

Reading Assignment. Timer. Introduction. Timer Overview. Programming HC12 Timer. An Overview of HC12 Timer. EEL 4744C: Microprocessor Applications Reading Assignment EEL 4744C: Microprocessor Applications Lecture 8 Timer Software and Hardware Engineering (new version): Chapter 4 SHE (old version): Chapter 0 HC Data Sheet: Chapters,,, 0 Introduction

More information

Hardware Flags. and the RTI system. Microcomputer Architecture and Interfacing Colorado School of Mines Professor William Hoff

Hardware Flags. and the RTI system. Microcomputer Architecture and Interfacing Colorado School of Mines Professor William Hoff Hardware Flags and the RTI system 1 Need for hardware flag Often a microcontroller needs to test whether some event has occurred, and then take an action For example A sensor outputs a pulse when a model

More information

ET301 GPS-UAV Development Platform. Part 3: Development suggestions

ET301 GPS-UAV Development Platform. Part 3: Development suggestions ET301 GPS-UAV Development Platform Part 3: Development suggestions ET301 GPS-UAV Development Platform This is the third part of a three part series of manuals for the ET301 GPS-UAV. The first part covers

More information

Solar Mailbox project. Pictures of the Solar Mailbox

Solar Mailbox project. Pictures of the Solar Mailbox Solar Mailbox project The purpose of this project is to develop a self sufficient Mailbox (real one) that will be powered only by the sun and that will display the number of the house, but only in accordance

More information

PICmicro MCU APPLICATION DESIGN AND HARDWARE INTERFACING

PICmicro MCU APPLICATION DESIGN AND HARDWARE INTERFACING 6 PICmicro MCU APPLICATION DESIGN AND HARDWARE INTERFACING CONTENTS AT A GLANCE Estimating Application Power Requirements Reset Interfacing to External Devices DIGITAL LOGIC DIFFERENT LOGIC LEVELS WITH

More information

Matrix Multimedia PICmicro microcontroller development board Information datasheet: Using external sensors and actuators

Matrix Multimedia PICmicro microcontroller development board Information datasheet: Using external sensors and actuators Contents of this document 1. Introduction 2. Sensor information 3. Actuator information 4. Using the worksheets 5. Using macros 6. Heart rate sensor worksheet 7. Temperature probe worksheet 8. Photogate

More information

A Comparison of 8-Bit Microcontrollers. COP800 Byte/Words Cycles X SWAP OR A,[B] MC68HC05 LDA ROLA ROLA ROLA ROLA ADD STA 1 1 REGLO REGLO

A Comparison of 8-Bit Microcontrollers. COP800 Byte/Words Cycles X SWAP OR A,[B] MC68HC05 LDA ROLA ROLA ROLA ROLA ADD STA 1 1 REGLO REGLO A Comparison of 8-Bit Microcontrollers AN50 Author: INTRODUCTION Mark Palmer Microchip Technology Inc. The PIC6C5X/XX microcontrollers from Microchip Technology Inc., provide significant execution speed

More information

Introduction to Using the PIC16F877 Justin Rice IMDL Spring 2002

Introduction to Using the PIC16F877 Justin Rice IMDL Spring 2002 Introduction to Using the PIC16F877 Justin Rice IMDL Spring 2002 Basic Specs: - 30 pins capable of digital I/O - 8 that can be analog inputs - 2 capable of PWM - 8K of nonvolatile FLASH memory - 386 bytes

More information

PIC16C5X. EPROM/ROM-Based 8-Bit CMOS Microcontroller Series. Peripheral Features: Devices Included in this Data Sheet: CMOS Technology:

PIC16C5X. EPROM/ROM-Based 8-Bit CMOS Microcontroller Series. Peripheral Features: Devices Included in this Data Sheet: CMOS Technology: EPROM/ROM-Based 8-Bit CMOS Microcontroller Series Devices Included in this Data Sheet: PIC16C54 PIC16CR54 PIC16C55 PIC16C56 PIC16CR56 PIC16C57 PIC16CR57 PIC16C58 PIC16CR58 Note: 16C5X refers to all revisions

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. M PIC16C5X EPROM/ROM-Based 8-Bit CMOS Microcontroller Series Devices Included

More information

PIC16C717/770/ /20-Pin, 8-Bit CMOS Microcontrollers with 10/12-Bit A/D. Microcontroller Core Features: Pin Diagram. Peripheral Features:

PIC16C717/770/ /20-Pin, 8-Bit CMOS Microcontrollers with 10/12-Bit A/D. Microcontroller Core Features: Pin Diagram. Peripheral Features: 18/20-Pin, 8-Bit CMOS Microcontrollers with 10/12-Bit A/D Microcontroller Core Features: High-performance RISC CPU Only 35 single word instructions to learn All single cycle instructions except for program

More information

Course Introduction. Content 20 pages 3 questions. Learning Time 30 minutes

Course Introduction. Content 20 pages 3 questions. Learning Time 30 minutes Purpose The intent of this course is to provide you with information about the main features of the S08 Timer/PWM (TPM) interface module and how to configure and use it in common applications. Objectives

More information

PIC16C77X. 28/40-Pin, 8-Bit CMOS Microcontrollers w/ 12-Bit A/D * * * * * Enhanced features. Microcontroller Core Features: Pin Diagram PIC16C774

PIC16C77X. 28/40-Pin, 8-Bit CMOS Microcontrollers w/ 12-Bit A/D * * * * * Enhanced features. Microcontroller Core Features: Pin Diagram PIC16C774 28/40-Pin, 8-Bit CMOS Microcontrollers w/ 12-Bit A/D Microcontroller Core Features: High-performance RISC CPU Only 35 single word instructions to learn All single cycle instructions except for program

More information

GCE A LEVEL. WJEC Eduqas GCE A LEVEL in ELECTRONICS ACCREDITED BY OFQUAL DESIGNATED BY QUALIFICATIONS WALES SAMPLE ASSESSMENT MATERIALS

GCE A LEVEL. WJEC Eduqas GCE A LEVEL in ELECTRONICS ACCREDITED BY OFQUAL DESIGNATED BY QUALIFICATIONS WALES SAMPLE ASSESSMENT MATERIALS GCE A LEVEL WJEC Eduqas GCE A LEVEL in ELECTRONICS ACCREDITED BY OFQUAL DESIGNATED BY QUALIFICATIONS WALES SAMPLE ASSESSMENT MATERIALS Teaching from 207 For award from 209 A LEVEL ELECTRONICS Sample Assessment

More information

Application Note Temperature Sensor IC

Application Note Temperature Sensor IC Content 1. TSic 206/203/201/306/316/303/301 3 2. TSic 506F/503F/516/501F 4 3. TSic 716 5 4. TSic Accuracy Overview 1) 5 5. ZACwire TM Digital Output 6 6. Die and Package Specifications 11 7. TSic Block

More information

Rotary Pulse Generators and other Lab 3 Considerations

Rotary Pulse Generators and other Lab 3 Considerations Rotary Encoders (Pulse Generators) Specifications 3315 ECW EPS EAW Rotary Pulse Generators and other Lab 3 Considerations Product Photo Type Package Diameter Sealable Incremental 9mm IP67 Incremental 22mm

More information

Designing with a Microcontroller (v6)

Designing with a Microcontroller (v6) Designing with a Microcontroller (v6) Safety: In this lab, voltages are less than 15 volts and this is not normally dangerous to humans. However, you should assemble or modify a circuit when power is disconnected

More information

Moving Message Dot Matrix Display

Moving Message Dot Matrix Display Moving Message Display N. SHARMA EM TESTED EM TESTED E M TESTED MUDIT AGARWAL Moving Displays are perfect for all sort of business establishments like Airports, Clinics, Hospitals, Hotels, Restaurants,

More information

X-10 Compatible Appliance Module

X-10 Compatible Appliance Module X-0 Compatible Appliance Module Philip C. Plunkett pplunkett@cix.co.uk ABACUS ELECTRICS 0 Barley Mow Passage Chiswick, London, W4 4PH, U.K. Document Revision.0 - May 997 Source Code Revision.8 - August

More information

The University of Texas at Arlington Lecture 10 ADC and DAC

The University of Texas at Arlington Lecture 10 ADC and DAC The University of Texas at Arlington Lecture 10 ADC and DAC CSE 3442/5442 Measuring Physical Quantities (Digital) computers use discrete values, and use these to emulate continuous values if needed. In

More information

DatasheetDirect.com. Visit to get your free datasheets. This datasheet has been downloaded by

DatasheetDirect.com. Visit  to get your free datasheets. This datasheet has been downloaded by DatasheetDirect.com Your dedicated source for free downloadable datasheets. Over one million datasheets Optimized search function Rapid quote option Free unlimited downloads Visit www.datasheetdirect.com

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. 28/40-Pin 8-Bit CMOS FLASH Microcontrollers Devices Included in this Data

More information

Microchip. Tips n Tricks 产品用户参考手册 单片机集成方案全方位解决服务商 优质智能电子产品 芯 方案解决商 深圳市英锐恩科技有限公司

Microchip. Tips n Tricks 产品用户参考手册 单片机集成方案全方位解决服务商 优质智能电子产品 芯 方案解决商 深圳市英锐恩科技有限公司 深圳市英锐恩科技有限公司 单片机集成方案全方位解决服务商 优质智能电子产品 芯 方案解决商 Microchip Tips n Tricks 产品用户参考手册 深圳市英锐恩科技有限公司 ENROO-TECH(SHENZHEN)CO.,LTD 中国 深圳市福田区福华路嘉汇新城汇商中心 27 楼 2701 Enroo-Tech Technologies CO., Limited Light-Tech International

More information

DS-UAA3201 UAA3201 Development System User s Guide

DS-UAA3201 UAA3201 Development System User s Guide DS-UAA3201 UAA3201 Development System User s Guide Covers the follow parts: UAA3201 Radios, Inc. UAA3201 Development System User s Guide Table of Contents UAA3201 Development System Limitations UAA3201

More information

Three-Stage Coil Gun

Three-Stage Coil Gun Three-Stage Coil Gun Final Project Report December 8, 2006 E155 Dan Pivonka and Michael Pugh Abstract: A coil gun is an electronic gun that fires a projectile by means of the magnetic field generated when

More information

Building an Analog Communications System

Building an Analog Communications System Building an Analog Communications System Communicate between two PICs with analog signals. Analog signals have continous range. Analog signals must be discretized. Digital signal converted to analog Digital

More information

EE 308 Spring 2006 FINAL PROJECT: INTERFACING AND MOTOR CONTROL WEEK 1 PORT EXPANSION FOR THE MC9S12

EE 308 Spring 2006 FINAL PROJECT: INTERFACING AND MOTOR CONTROL WEEK 1 PORT EXPANSION FOR THE MC9S12 FINAL PROJECT: INTERFACING AND MOTOR CONTROL In this sequence of labs you will learn how to interface with additional hardware and implement a motor speed control system. WEEK 1 PORT EXPANSION FOR THE

More information

AN606. Low Power Design Using PICmicro Microcontrollers INTRODUCTION DESIGN TECHNIQUES RESISTOR TO LOWER POWER IN RC MODE CONTROL CIRCUIT

AN606. Low Power Design Using PICmicro Microcontrollers INTRODUCTION DESIGN TECHNIQUES RESISTOR TO LOWER POWER IN RC MODE CONTROL CIRCUIT Low Power Design Using PICmicro Microcontrollers Author: Rodger Richey FIGURE : USING AN EXTERNAL RESISTOR TO LOWER POWER IN RC MODE INTRODUCTION Power consumption is an important element in designing

More information

QDS QwikRadio Development System User s Guide

QDS QwikRadio Development System User s Guide QDS QwikRadio Development System User s Guide Covers the follow parts: DS-QDS-315 DS-QDS-418 DS-QDS-433 DS-QDS-915 RF Laboratories, Inc. QwikRadio Development System User s Guide Table of Contents QwikRadio

More information

LM4: The timer unit of the MC9S12DP256B/C

LM4: The timer unit of the MC9S12DP256B/C Objectives - To explore the Enhanced Capture Timer unit (ECT) of the MC9S12DP256B/C - To program a real-time clock signal with a fixed period and display it using the onboard LEDs (flashing light) - To

More information

Section 3. Reset HIGHLIGHTS. Reset. This section of the manual contains the following major topics:

Section 3. Reset HIGHLIGHTS. Reset. This section of the manual contains the following major topics: Section 3. HIGHLIGHTS This section of the manual contains the following major topics: 3.1 Introduction... 3-2 3.2 s and Delay Timers... 3-4 3.3 Registers and Status Bit Values... 3-14 3.4 Design Tips...

More information

AN1730. Digital Amplification Control of an Analog Signal Using the MC68HC705J1A. Introduction

AN1730. Digital Amplification Control of an Analog Signal Using the MC68HC705J1A. Introduction Order this document by /D Digital Amplification Control of an Analog Signal Using the MC68HC705JA By Mark Glenewinkel Consumer Systems Group Austin, Texas Introduction This application note describes the

More information

The MC9S12 Pulse Width Modulation System. Pulse Width Modulation

The MC9S12 Pulse Width Modulation System. Pulse Width Modulation The MC9S12 Pulse Width Modulation System o Introduction to PWM o Review of the Output Compare Function o Using Output Compare to generate a PWM signal o Registers used to enable the Output Capture Function

More information

CHAPTER 3 PIC Microcontroller CCP and ECCP Tips n Tricks

CHAPTER 3 PIC Microcontroller CCP and ECCP Tips n Tricks CHAPTER 3 PIC Microcontroller CCP and ECCP Tips n Tricks Table Of Contents CAPTURE TIPS N TRICKS TIP #1 Measuring the Period of a Square Wave... 3-3 TIP #2 Measuring the Period of a Square Wave with Averaging...

More information

ECE251: Tuesday October 3 0

ECE251: Tuesday October 3 0 ECE251: Tuesday October 3 0 Timer Module Continued Review Pulse Input Characterization Output Pulses Pulse Count Capture Homework #6 due Thursday Lab 7 (Maskable Interrupts/ SysTick Timer) this week. Significant

More information

EE 308 Apr. 24, 2002 Review for Final Exam

EE 308 Apr. 24, 2002 Review for Final Exam Review for Final Exam Numbers Decimal to Hex (signed and unsigned) Hex to Decimal (signed and unsigned) Binary to Hex Hex to Binary Addition and subtraction of fixed-length hex numbers Overflow, Carry,

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. M PIC16F87X 28/40-pin 8-Bit CMOS FLASH Microcontrollers Microcontroller

More information

PIC PWM. Robert Ralston KJ6HFR September 2013

PIC PWM. Robert Ralston KJ6HFR September 2013 PIC PWM Robert Ralston KJ6HFR September 2013 These notes demonstrate generating PWM on the HamStack platform using the PIC 18F4620 and the PIC 18F46K22. Most demonstrations can use the DEV-1 HamStack Development

More information

EE 308 Spring 2013 The MC9S12 Pulse Width Modulation System

EE 308 Spring 2013 The MC9S12 Pulse Width Modulation System The MC9S12 Pulse Width Modulation System o Introduction to PWM o Review of the Output Compare Function o Using Output Compare to generate a PWM signal o Registers used to enable the Output Capture Function

More information

Section 22. Basic 8-bit A/D Converter

Section 22. Basic 8-bit A/D Converter M Section 22. A/D Converter HIGHLIGHTS This section of the manual contains the following major topics: 22.1 Introduction...22-2 22.2 Control Registers...22-3 22.3 A/D Acquisition Requirements...22-6 22.4

More information

AN720. Measuring Temperature Using the Watch Dog Timer (WDT) THEORY INTRODUCTION HARDWARE REQUIRED. Equation 1: Microchip Technology Inc.

AN720. Measuring Temperature Using the Watch Dog Timer (WDT) THEORY INTRODUCTION HARDWARE REQUIRED. Equation 1: Microchip Technology Inc. Measuring Temperature Using the Watch Dog Timer (WDT) Author: INTRODUCTION This application note shows how Microchip Technology s Watch Dog Timer (WDT) can be used to acquire rough temperature measurements.

More information