Sr. No. Instrument Specifications. TTL (Transistor-Transistor Logic) based on bipolar junction transistors

Size: px
Start display at page:

Download "Sr. No. Instrument Specifications. TTL (Transistor-Transistor Logic) based on bipolar junction transistors"

Transcription

1 MIT College of Engineering, Pune. Department of Electronics & Telecommunication (Electronics Lab) EXPERIMENT NO 01 TITLE OF THE EXPERIMENT: Verify four voltage and current parameters for TTL and CMOS (IC 74LSXX, 74HCXX). AIM : To Verify voltage and current parameters for TTL and CMOS (IC 74LSXX, 74HCXX). APPARATUS: Sr. No. Instrument Specifications 1 Digital Trainer Kit 2 IC s used THEORY: LOGIC FAMILIES: Three major logic families: TTL (Transistor-Transistor Logic) based on bipolar junction transistors CMOS (Complementary Metal Oxide Semiconductor) based on MOSFETs ECL (Emitter-Coupled Logic), based on bipolar junction transistors Originally, TTL chips were fast but used lots of power, and CMOS chips used little power but were slow. CMOS chips are sensitive to static discharge, and must be handled carefully. Subfamilies within the TTL Family Description Designator Example Standard TTL None

2 Low-Power Schottky LS 74LS04 Advanced Low-Power Schottky ALS 74ALS04 Fast F 74F04 Some Subfamilies within the CMOS Family Description Designator Example Standard CMOS 4069 Pin-compatible with 7400 series C 74C04 High-Speed CMOS HC 74HC04 Advanced High-Speed CMOS AHC 74AHC04 Low-Voltage CMOS (3.3 V) LVC 74LVC04 Advanced Low-Voltage CMOS (2.5 V) ALVC 74ALVC04 DC Supply Voltages: TTL chips are optimized for 5 V supply, and cannot tolerate voltages far above or below 5 V. CMOS chips may be optimized for 5 V, 3.3 V, 2.5 V, or 1.8 V supplies. Most CMOS chips can tolerate a much wider range of supply voltages than TTL chips Fan-out Fan-out means the number of load inputs that a given output can drive. 2

3 Input and Output Currents Four key current parameters: IIH = the current flowing through an input pin when it s HIGH. IIL = the current flowing through an input pin when it s LOW. IOH = the current flowing through an output pin when it s HIGH. IOL = the current flowing through an output pin when it s LOW. Logic Levels Four key voltage parameters when you re interfacing logic: VIH(min) = the minimum voltage that an input pin will recognize as a HIGH. VIL(max) = the maximum voltage an input pin will recognize as a LOW. VOH(min) = the minimum voltage that can appear on a HIGH output pin. VOL(max) = the maximum voltage that can appear on a LOW output pin. Logic levels for TTL 3

4 Noise Margin The noise margin is the room for error between the voltage that an output pin produces and the voltage that an input pin expects. VNH = VOH(min) VIH(min) VNL = VIL(max) VOL(max) Different logic families have different voltage and current specifications. These differences can cause problems when you connect a gate from one family to a gate from another family. Interfacing Example: TTL to CMOS A TTL HIGH output may be as low as 2.4 V. But a CMOS input expects HIGHs to be at least 3.33 V. Solution: use a pull-up resistor, as shown in Figure. 4

5 Interfacing Example: CMOS to TTL A CMOS LOW output can only sink 0.51 ma. But a TTL LOW input may source as much as 1.6 ma. OBSERVATION TABLE: Parameter 74LSXX 74HCXX VIH VIL VOH VOL IIH IIL IOH IOL 5

6 DIAGRAM: CONCLUSION: 6

7 MIT College of Engineering, Pune. Department of Electronics & Telecommunication (Electronics Lab) EXPERIMENT NO 02 TITLE OF THE EXPERIMENT: Study of IC-74LS153 as a Multiplexer. AIM : A) Design and Implement 8:1 MUX using IC-74LS153 & Verify its Truth Table B) Design & Implement any given 4 variable function using IC74LS153. Verify its Truth Table. APPARATUS: Sr. No. Instrument Specifications 1 Digital Trainer Kit 2 IC s used THEORY: MULTIPLEXER: A multiplexer is a circuit with many inputs but only one output.the selection of particular input line is controlled by a set of selection lines. Normally there are n input lines and m select lines whose bit combination determines which input is selected. It s a special combinational circuit that is one of the most widely used standard circuits in digital design. The multiplexer (or data selector) is a logic circuit that gates one out of several inputs to a single output. The output selected is controlled by a set of select inputs. For selecting one out of n inputs for connection to the output, a set of m select inputs is required, where 2 m = n. Depending upon the digital code applied at the select inputs one out of n data sources is selected and transmitted to a single output channel. Normally, a strobe (or enable) input (G) is incorporated which helps in cascading and it is generally active-low, which means it performs its intended operation when it s LOW TYPES OF MUTIPLEXER 2:1 MUX 4:1 MUX 8:1 MUX 16:1 MUX 7

8 DIFFERENT MULTIPLEXER IC s IC no. Description Output Quad 2:1 MUX Same as input Quad 2:1 MUX Inverted input Dual 4:1 MUX Same as input 74151A 8:1 MUX Complementary outputs :1 MUX Inverted inputs :1 MUX Inverted input TRUTH TABLE FOR 8:1 MULTIPLEXER USING IC 74153: E S 1 S 0 I 0 I 1 I 2 I 3 I 4 I 5 I 6 I 7 Z X X X X X X X X X X X X X X X 0 X X X X X X X 1 X X X X X X X X 0 X X X X X X X 1 X X X X X X X X 0 X X X X X X X 1 X X X X X X X X 0 X X X X X X X 1 X X X X X X X X 0 X X X X X X X 1 X X X X X X X X 0 X X X X X X X 1 X X X X X X X X X X X X X X X 1 1 8

9 Internal Logic Diagram for IC 74LS153 DUAL 4:1 MUX 9

10 COMBINATIONAL CIRCUITS (1) f (A,B,C) = E A B C Y Design: Logic Diagram: 10

11 (2) f (A,B,C,D) = A B C D Y Design: Logic Diagram: 11

12 (3) FULL ADDER A B C SUM(S) CARRY Design: Logic Diagram: 12

13 ADVANTAGES OF MUX 2. It reduces number of wires. 3. It reduces the circuit complexity and cost. 4. We can implement any combinational circuits. 5. It simplifies the logic design 6. It doesn t need the k maps and simplification APPLICATIONS OF MULTIPLEXER 1. Used as a data selector to select one out of many data inputs. 2. Used for simplification of logic design. 3. In data acquisition system 4. In designing the combinational circuits. 5. In D/A converters. CONCLUSION: 13

14 MIT College of Engineering, Pune. Department of Electronics & Telecommunication (Electronics Lab) EXPERIMENT NO 03 TITLE OF THE EXPERIMENT: Study of IC as Demultiplexer/Decoder. AIM : : A) Design and implementation full adder and full subtractor function using IC B) Design and implementation 3-bit code converter using IC-74138(Gray to Binary/Binary to Gray). APPARATUS: Sr. No. Instrument Specifications 1 Digital Trainer Kit 2 IC s used THEORY: DEMULTIPLEXER: A demultiplexer is a circuit that receives information on a single line and transmits information on one of the possible output lines. The selection of specific output line is controlled by the values of n selection lines. It has only one input, n outputs and m select inputs. It performs converse operation as that of a multiplexer. It receives one input and distributes it over several outputs. At a time only one output line is selected by the select lines and the input is transmitted to the selected output line. There is also an enable input which is responsible for enabling the demultiplexer. 14

15 INPUT D Y0 Y1 Y2 OUTPUTS ENABLE E Yn S1 S2 Sm Relation between m select lines and n outputs is: N=2 m SR.NO IC NUMBER FUNCTION Dual 1:4 DEMUX Dual 1:4 DEMUX :8 DEMUX :8 DEMUX :16 DEMUX :16 DEMUX IC DEMULTIPLEXER: This is 1:8 demultiplexer IC. Also it is a 3:8 decoder. It has 3 enable pins out of which 1 is active high and 2 are active low. The active low enable pin can be used as data line IC DEMULTIPLEXER: It is a dual 1:4 demultiplexer IC. Also it is 2:4 decoder. The active low enable pin can be used as data line. 15

16 TRUTH TABLE FOR IC 74138: E3 E1 E2 A2 A1 A0 O 0 O 1 O 2 O 3 O 4 O 5 O 6 O 7 X X H X X X H H H H H H H H X H X X X X H H H H H H H H L X X X X X H H H H H H H H H L L L L L L H H H H H H H H L L L L H H L H H H H H H H L L L H L H H L H H H H H H L L L H H H H H L H H H H H L L H L L H H H H L H H H H L L H L H H H H H H L H H H L L H H L H H H H H H L H H L L H H H H H H H H H H L 16

17 IMPELEMENT FULL ADDER AND SUBTRACTOR USING IC74138: 1) FULL ADDER: TRUTH TABLE: A B C SUM CARRY Logic Diagram: 17

18 2)FULL SUBTRACTOR: TRUTH TABLE: A B C DIFFERENCE BORROW Logic Diagram: 18

19 3) Gray to Binary code converter Binary Input Gray Output B2 B1 B1 G2 G1 G0 Logic Diagram: 19

20 4) Binary to Gray code converter Gray Input Binary Output G2 G1 G0 B2 B1 B1 Logic Diagram: CONCLUSION: 20

21 MIT College of Engineering, Pune. Department of Electronics & Telecommunication (Electronics Lab) EXPERIMENT NO 04 TITLE OF THE EXPERIMENT: Study of IC-74LS83 as a BCD adder. AIM : a) Design and implement 1 digit BCD adder using IC 7483 b) Design and implement 4-bit Binary subtractor using IC 7483 APPARATUS: Sr. No. Instrument Specifications 1 Digital Trainer Kit 2 IC s used THEORY: BCD Adder BCD adder is a circuit that adds 2 BCD digits and produces a sum in BCD form. BCD addition procedures can be summarized as follows, 1. Add 2 BCD number using binary addition. 2. If the 4-bit sum is greater than 9 or if carry is generated, the sum is invalid. To correct the sum, add 0110 to sum, if carry is generated from this addition, add it to the next higher order BCD digit. 3. If the 4-bit sum is less than 9 or equal to 9, the sum is in proper BCD form Thus, to implement, BCD adder we require, a. A 4-bit binary adder for initial addition. b. Logic circuitry to detect sums greater than 9. c. Another 4-bit adder to add 0110 in the sum if the sum is greater than 9 or if carry is generated. The logic circuit to determine sum greater than 9 can be determined by Boolean expression of the given truth table: - simplifying the 21

22 TRUTH TABLE:-detection of invalid BCD: Cout S3 S2 S1 S0 Y

23 KARNAUGH MAP :- Cout = 0 Cout = 1 As shown in figure the BCD number are first added in the top 4-bit binary adder to produce a binary sum. When sum is less than equal to 9 and Cout =0 zero is added to the binary sum. When a sum greater than 9 or Cout=1 binary 0110 is added to the binary sum. The output carry generated from the bottom binary adder can be ignored, since it supplies information already available at the output carry terminal. 23

24 CIRCUIT DIAGRAM: 24

25 4-BIT BINARY SUBTRACTOR: The problem of subtraction can be converted into that of addition if 1 s and 2 s complement representation are used for representing negative numbers. The two numbers A and B can be of same sign or of opposite sign. If the two numbers are of unlike sign, the problem of overflow or underflow occurred. PROCEDURE: 1. Find 1 s complement of subtrahend. 2. Add it with minuend along with carry in equal to 1 using binary adder IC. 3. Check the output of adder, if carry is generated then ignore the carry and subtraction output is positive which is available at sum output. Sign of answer is represented by MSB of sum. If MSB is 0 then output is positive and if MSB is 1 then output is negative. 4. If carry is not generated then subtraction output is negative and it is in 2 s complement form, which is available at sum output. 5. If output is in 2 s complement form, then find the magnitude of subtraction result by taking 2 s complement of answer. CIRCUIT DIAGRAM: 25

26 CONCLUSION: 26

27 MIT College of Engineering, Pune. Department of Electronics & Telecommunication (Electronics Lab) EXPERIMENT NO 05 TITLE OF THE EXPERIMENT: Study of IC 74LS85 as a Magnitude Comparator. AIM : A) Design and Implement 4 bit comparator. B) Design and Implement 8 bit comparator. APPARATUS: Sr. No. Instrument Specifications 1 Digital Trainer Kit 2 IC s used THEORY: COMPARATOR: Digital Comparator is a combinational logic device which compares two n bit binary input words. It has 3 outputs namely A>B, A=B and A<B. Depending upon the result of comparison, one of these outputs will be high. TYPES OF COMPARATOR 1 Bit Comparator 2 Bit Comparator 4 Bit Comparator up to n Bit Comparator For comparison of binary numbers having more bits, cascading of ICs can be done. But the IC generally used in the market is IC 74LS85 (4 Bit comparator) 27

28 DIFFERENT COMPARATOR IC s IC no. Description Output 74LS85 4 Bit Magnitude Comparator A>B / A=B / A<B 74HC/HCT688 8 Bit Identity Comparator Only for A=B SN54ALS688 8 Bit Identity Comparator Only for A=B EXAMPLE 1 BIT COMPARATOR A B A>B A=B A<B In above truth table, 2 bits A and B are compared. Explanation- Case 1: A=0, B=0 and A=1, B=1 A=B is High. Case 2: A=1, B=0 A>B is High. Case 3: A=0, B=1 A<B is High. 28

29 TRUTH TABLE FOR 4 BIT COMPARATOR USING IC 74LS85-: Comparing Inputs Cascading Inputs Outputs A>B A=B A<B A>B A=B A<B A>B X X X A<B X X X A=B X 1 X A=B 1 X X A=B X X Logic Diagram: 29

30 4 BIT COMPARATOR USING IC 74LS85 Comparing Inputs Cascading Inputs Outputs A>B A=B A<B A>B A=B A<B 8 BIT COMPARATOR Outputs of first IC are connected to Cascading inputs of next IC. LSBs are given as input to the first IC and next four MSB bits to the next IC. Logic Diagram: 30

31 Compare any two 8 bit numbers and write the result - Number 1 (8 Bit) Number 2 (8 Bit) Binary Decimal Value Binary Decimal Value A>B A=B A<B ADVANTAGES OF COMPARATOR 1. Easy designing of Circuit. 7. For decision making in electronic circuits, comparators are helpful. APPLICATIONS OF COMPARATOR 6. Comparators are used in Microprocessors and Microcontrollers. 7. Comparators are used in Arithmetical and Logic Unit. CONCLUSION: 31

32 MIT College of Engineering, Pune. Department of Electronics & Telecommunication (Electronics Lab) EXPERIMENT NO 06 TITLE OF THE EXPERIMENT: Study of counter IC. AIM : 1) Design & Implement MOD N & MOD- MN using IC 74LS90 and draw Timing diagram. 2) Design & Implement MOD N & MOD- MN using IC 74LS93 and draw Timing diagram. APPARATUS: Sr. No. Instrument Specifications 1 Digital Trainer Kit 2 IC s used THEORY: A) BASIC INTERNAL STRUCTURE OF IC 7490 IC 7490 is a TTL MSI decade counter. It contains four master slave flip-flops and additional gating to provide a divide-by-two counter and a three stage binary counter which provides a divide by 5 counter. (MOD-5) IC 7490 is a MOD-10 or decade counter. It can be used for designing 32

33 symmetrical Bi-quinary divide by 10 counter. In this application clock is applied to input B and QD output is connected to input A. the output is obtained at QA output. It is a perfect square wave at a frequency equal to fclk/10. PIN NAME AND DESCRIPTION PIN NAME DESCRIPTION 1 Input B Clock input to internal MODS ripple counter 2 Ro(1), Ro(2) Gates, zero reset input. These are active low. When both are zero all output are zero. 3 VCC +5V DC 4 RQ(1), RQ(2) These are gate set with nine output. 5 QD, QC, QB Output of internal MOD counter. 6 GND Logic GND acts as reference. 7 QA Output of internal MOD-2 counter. 8 Input A Clock input to flip flop A which is negative edge triggered. PINDIAGRAM : 33

34 IC 7490 AS DECADE COUNTER TRUTH TABLE FOR IC 7490 CLOCK QD QC QB QA CIRCUIT DIAGRAM: Decade Counter using T FF 34

35 TIMING DIAGRAM: IC 7490 AS MOD-6 COUNTER TRUTH TABLE OF MOD-6 COUNTER: CLOCK QA QB QC QD CIRCUIT DIAGRAM: 35

36 IC 7490 AS MOD-100 COUNTER CIRCUIT DIAGRAM: 36

37 B) IC 74 LS93 4-Bit Ripple Counter : The output Q0 must be externally connected to input CP1. The input count pulses are applied to input CP0. Simultaneous divisions of 2, 4, 8, and 16 are performed at the Q0, Q1, Q2, and Q3 outputs as shown in the truth table. 3-Bit Ripple Counter The input count pulses are applied to input CP1. Simultaneous frequency divisions of 2, 4, and 8 are available at the Q1, Q2, and Q3 outputs. Independent use of the first flip-flop is available if the reset function coincides with reset of the 3-bit ripplethrough counter. IC 7493 AS MOD-12 COUNTER CIRCUIT DIAGRAM: TIMING DIAGRAM: 37

38 IC 7493 AS MOD-86 COUNTER CIRCUIT DIAGRAM: CONCLUSION: 38

39 MIT College of Engineering, Pune. Department of Electronics & Telecommunication (Electronics Lab) EXPERIMENT NO 07 TITLE OF THE EXPERIMENT: Study of Synchronous counter. AIM : Design & Implement Up/Down counter & MOD N Up/Down counter using IC 74HC191 and draw Timing diagram. APPARATUS: Sr. No. Instrument Specifications 1 Digital Trainer Kit 2 IC s used THEORY: SYNCHRONOUS COUNTER IC 74191:- IC is a 16 pin IC that is synchronous, 4-bit binary, up/down reversible counter. Synchronous operation is obtained by having all the flip-flops clocked simultaneously so that the outputs change simultaneously. This method eliminates the output counting spikes normally associated with an asynchronous (ripple) counter. INPUTS: 1) Clock input: The clock of this IC is a positive edge triggered. 2) Enable: The IC gets activated when this pin is connected to ground. 3) Up/Down: This input determines the direction of count. When low, the IC counts up and when high the IC counts down. 4) Load: The outputs of the IC may be preset to any level by placing low on the input load and entering the data. The output will change independent of the level of the clock input. 5) Data inputs A, B, C, and D: These are used to preset a particular data in the IC. 6) OUTPUTS QA, QB, QC, and QD: They are the outputs of the master slave JK flip-flops. 39

40 7) Max/Min: This is the special output IC. When the count is in up mode and reaches 15 i.e. 1111, which is over flow condition, then output of Max/Min goes high. When in down count mode and 0000 is present at output it is underflow condition and again the output goes high. Ripple clock: This is also special output of the IC. Just like Max/Min, output of ripple clock goes low for overflow and under flow conditions. But the only difference is that this output is low for only the negative clock cycles and not for entire clock cycles (normally high). PIN CONFIGURATION OF IC 74191: PIN DESCRIPTION PIN NUMBER DESCRIPTION Data input (PO to P3) Parallel load PL 4 data inputs lines with P0 LSB and P3 as MSB Data present on input lines appears as output when P =0 Count enable input CE It enables counting when CE =0 4 output with Q0 as LSB and Q3 as MSB Output (Q0 to Q3) If this input is low, up counting takes place UP/down and if high, down counting takes place. Clock input (CP) This is clock input for clock 40

41 Terminal counter (TC) Ripple clock (RC) As the counter reaches max (15) or min (0), it is indicated by a `high pulse` on TC output. This is an active low output. It is normally high. As the counter reaches max (15) or min (0), it is indicated by a `high pulse` on ripple clock. It is used for cascading. TRUTH TABLE: Operating Mode Inputs Output PL U/D CE CP P0 to P3 Parallel Load L X X X L L MOD-10 UP COUNTER FROM 6 TO 15 USING IC TRUTH TABLE: Decimal Binary L X X X H H Count Up H L L Î X Count Up Count Down H H L Î X Count Down Hold(no change) H X H X X No Change 41

42 Initially 0110 is given to data inputs DCBA. To count from 6 onwards, load is initially connected to ground and then to VCC. Pin 5 is grounded for up counting. IC uses positive edge triggered clock and hence for every clock pulse it starts incrementing output i.e. 6,7,8,9 After 15,output tries to go to 0 and so OR gates are connected at output pins and output of OR gate is connected to load pin. Hence output of OR gate is 0 and hence load is activated and it loads at output pins. BLOCK DIAGRAM OF MOD-10 UP COUNTER FROM 6 TO 15 USING IC : MOD-8 UP COUNTER FROM4 TO 11 USING IC KARNAUGH MAP: 42

43 BLOCK DIAGRAM OF MOD-8 UP COUNTER FROM 4TO 11 USING IC : TIMING DIAGRAM: CONCLUSION: 43

44 MIT College of Engineering, Pune. Department of Electronics & Telecommunication (Electronics Lab) EXPERIMENT NO 08 TITLE OF THE EXPERIMENT: Study of Shift Register AIM : 1) Design & Implement pulse train generator using Shift register IC -74HC194/IC- 74LS95(Use right shift/left shift) 2) Design & Implement 4 bit ring Counter/Twisted ring counter using Shift register IC- 74HC194/IC-74LS95. APPARATUS: Sr. No. Instrument Specifications 1 Digital Trainer Kit 2 IC s used THEORY: There are couples of ways to define a Register used in Digital Electronics. Registers are data storage devices that are more sophisticated than latches. A register is a group of binary cells suitable for holding binary information. A group of cascaded flip flops used to store related bits of information is known as a register. Application of Registers These are used in computers for Temporary storage Data transferring Data manipulation As counters Shift Register A register that is used to assemble and store information arriving from a serial source is called a shift register. Each flip flop output of a shift register is a connected to the input of the following flip flop and a common clock pulse is applied to all flip flops, clocking them synchronously. Hence the shift register is a synchronous sequential circuit. An n-bit 44

45 shift register consists of n Flip Flops and the gates control the shift operation. There are four types of Shift Registers: 1. Serial-In, Serial-Out (SISO) 2. Parallel-In, Serial-Out (PISO) 3. Serial-In, Parallel-Out (SIPO) 4. Parallel-In, Parallel-Out (PIPO) In digital circuits, a shift register is a cascade of flip flops, sharing the same clock, which has the output of anyone but the last flip-flop connected to the "data" input of the next one in the chain, resulting in a circuit that shifts by one position the one-dimensional "bit array" stored in it, shifting in the data present at its input and shifting out the last bit in the array, when enabled to do so by a transition of the clock input. More generally, a shift register may be multidimensional; such that its "data in" input and stage outputs are themselves bit arrays: this is implemented simply by running several shift registers of the same bit-length in parallel. Shift registers can have both parallel and serial inputs and outputs. These are often configured as serial-in, parallel-out (SIPO) or as parallel-in, serial-out (PISO). There are also types that have both serial and parallel input and types with serial and parallel output. There are also bi-directional shift registers which allow shifting in both directions: L R or R L. The serial input and last output of a shift register can also be connected together to create a circular shift register. SERIAL-IN, SERIAL-OUT: These are the simplest kind of shift registers. The data string is presented at 'Data In', and is shifted right one stage each time 'Data Advance' is brought high. At each advance, the bit on the far left (i.e. 'Data In') is shifted into the first flip-flop's output. The bit on the far right (i.e. 'Data Out') is shifted out and lost. The data are stored after each flip-flop on the 'Q' output, so there are four storage 'slots' available in this arrangement; hence it is a 4-Bit Register. To give an idea of the shifting pattern, imagine that the register holds 0000 (so all storage slots are empty). As 'Data In' presents 1,0,1,1,0,0,0,0 (in that order, with a pulse at 'Data Advance' each time. This is called clocking or strobing) to the register, this is the result. The left hand column corresponds to the left-most flip-flop's output pin, and so on. So the serial output of the entire register is As you can see if we were to continue to input data, we would get exactly what was put in, but offset by four 'Data Advance' cycles. This 45

46 arrangement is the hardware equivalent of a queue. Also, at any time, the whole register can be set to zero by bringing the reset (R) pins high. This arrangement performs destructive readout - each datum is lost once it been shifted out of the right-most bit. SERIAL-IN, PARALLEL-OUT: Data is input serially, as described in the SISO section above. Once the data has been input, it may be either read off at each output simultaneously, or it can be shifted out and replaced. PROCEDURE: I) Symmetrical Schmitt trigger i) Make connections as shown in the circuit diagram ii) Apply +VCC and VEE as +/-12V respectively. iii) Apply sine wave input with 10 V p-p, with freq = 1KHz iv) Observe the waveforms on Dual mode of the CRO and verify the UTP and LTP are according to the design and sketch the same. Observe the Hysteresis by keeping the CRO in X-Y mode & sketch the same. 46

47 PARALLEL IN SERIAL OUTPUT: PARALLEL IN PARALLEL OUT: IC 74194: 47

48 TRUTH TABLE: Operating MR S1 S0 DSR DSL Pn Q0 Q1 Q2 Q3 Mode Reset L L L L L Hold H L L Q0 Q1 Q2 Q3 Shift Left H H L L Q1 Q2 Q3 L H H L H Q1 Q2 Q3 H Shift Right H L H L L Q0 Q1 Q2 H L H H H Q0 Q1 Q2 Parallel Load H H H Pn P0 P1 P2 P3 A)IMPLEMENT ATION OF PULSE TRAIN: THEORY: A sequence generator is the Sequential circuit, which generates a prescribed sequence as its output. The output sequence is in synchronization with the clock input. It is possible to design a sequence generator using shift register. The output of an n-bit shift register is applied at inputs to combinational circuit called next next state Decoder. The output of the next state decoder is applied as inputs of shift register the combinational circuit IDs designed as per the requirement of sequence. The Given Sequence is TRUTH TABLE: Q3 Q2 Q1 Q0 D I/P 48

49 K-map: Logic Diagram: 49

50 B)4 BIT RING COUNTER/TWISTED RING COUNTER IC -74HC194/IC- 74LS95. The synchronous Ring Counter example above, is preset so that exactly one data bit in the register is set to logic 1 with all the other bits reset to 0. To achieve this, a CLEAR signal is firstly applied to all the flip-flops together in order to RESET their outputs to a logic 0 level and then a PRESET pulse is applied to the input of the first flip-flop ( FFA ) before the clock pulses are applied. This then places a single logic 1 value into the circuit of the ring counter. So on each successive clock pulse, the counter circulates the same data bit between the four flipflops over and over again around the ring every fourth clock cycle. But in order to cycle the data correctly around the counter we must first load the counter with a suitable data pattern as all logic 0 s 50

51 The MODULO or MODULUS of a counter is the number of states the counter counts or sequences through before repeating itself and a ring counter can be made to output any modulo number. A mod-n ring counter will require n number of flip-flops connected together to circulate a single data bit providing n different output states. Johnson Ring Counter: The Johnson Ring Counter or Twisted Ring Counters, is another shift register with feedback exactly the same as the standard Ring Counter above, except that this time the inverted output Q of the last flip-flop is now connected back to the input D of the first flip-flop as shown below. The main advantage of this type of ring counter is that it only needs half the number of flip-flops compared to the standard ring counter then its modulo number is halved. So a n-stage Johnson counter will circulate a single data bit giving sequence of 2n different states and can therefore be considered as a mod-2n counter. This inversion of Q before it is fed back to input D causes the counter to count in a different way. Instead of counting through a fixed set of patterns like the normal ring counter such as for a 4-bit counter, 0001 (1), 0010 (2), 0100 (4), 1000 (8) and repeat, the Johnson counter counts up and then down as the initial logic 1 passes through it to the right replacing the preceding logic 0. A 4-bit Johnson ring counter passes blocks of four logic 0 and then four logic 1 thereby producing an 8-bit pattern. As the inverted output Q is connected to the input D this 8-bit pattern continually repeats. For example, 1000, 1100, 1110, 1111, 0111, 0011, 0001, 0000 and this is demonstrated in the following table below. 51

52 Clock Pulse No FFA FFB FFC FFD Logic Diagram of Ring Counter: 52

53 Logic Diagram of Twisted Ring Counter: CONCLUSION: 53

LIST OF EXPERIMENTS. KCTCET/ /Odd/3rd/ETE/CSE/LM

LIST OF EXPERIMENTS. KCTCET/ /Odd/3rd/ETE/CSE/LM LIST OF EXPERIMENTS. Study of logic gates. 2. Design and implementation of adders and subtractors using logic gates. 3. Design and implementation of code converters using logic gates. 4. Design and implementation

More information

Fan in: The number of inputs of a logic gate can handle.

Fan in: The number of inputs of a logic gate can handle. Subject Code: 17333 Model Answer Page 1/ 29 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM. 2012/ODD/III/ECE/DE/LM Page No. 1

LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM. 2012/ODD/III/ECE/DE/LM Page No. 1 LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM K-Map for SUM: K-Map for CARRY: SUM = A B + AB CARRY = AB 22/ODD/III/ECE/DE/LM Page No. EXPT NO: DATE : DESIGN OF ADDER AND SUBTRACTOR AIM: To design

More information

SRV ENGINEERING COLLEGE SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI

SRV ENGINEERING COLLEGE SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI 6489 (Approved By AICTE,Newdelhi Affiliated To ANNA UNIVERSITY::Chennai) CS 62 DIGITAL ELECTRONICS LAB (REGULATION-23) LAB MANUAL DEPARTMENT OF

More information

Laboratory Manual CS (P) Digital Systems Lab

Laboratory Manual CS (P) Digital Systems Lab Laboratory Manual CS 09 408 (P) Digital Systems Lab INDEX CYCLE I A. Familiarization of digital ICs and digital IC trainer kit 1 Verification of truth tables B. Study of combinational circuits 2. Verification

More information

CONTENTS Sl. No. Experiment Page No

CONTENTS Sl. No. Experiment Page No CONTENTS Sl. No. Experiment Page No 1a Given a 4-variable logic expression, simplify it using Entered Variable Map and realize the simplified logic expression using 8:1 multiplexer IC. 2a 3a 4a 5a 6a 1b

More information

Department of Electronics and Communication Engineering

Department of Electronics and Communication Engineering Department of Electronics and Communication Engineering Sub Code/Name: BEC3L2- DIGITAL ELECTRONICS LAB Name Reg No Branch Year & Semester : : : : LIST OF EXPERIMENTS Sl No Experiments Page No Study of

More information

CS302 - Digital Logic Design Glossary By

CS302 - Digital Logic Design Glossary By CS302 - Digital Logic Design Glossary By ABEL : Advanced Boolean Expression Language; a software compiler language for SPLD programming; a type of hardware description language (HDL) Adder : A digital

More information

Winter 14 EXAMINATION Subject Code: Model Answer P a g e 1/28

Winter 14 EXAMINATION Subject Code: Model Answer P a g e 1/28 Subject Code: 17333 Model Answer P a g e 1/28 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

DIGITAL ELECTRONICS QUESTION BANK

DIGITAL ELECTRONICS QUESTION BANK DIGITAL ELECTRONICS QUESTION BANK Section A: 1. Which of the following are analog quantities, and which are digital? (a) Number of atoms in a simple of material (b) Altitude of an aircraft (c) Pressure

More information

4-bit counter circa bit counter circa 1990

4-bit counter circa bit counter circa 1990 Digital Logic 4-bit counter circa 1960 8-bit counter circa 1990 Logic gates Operates on logical values (TRUE = 1, FALSE = 0) NOT AND OR XOR 0-1 1-0 0 0 0 1 0 0 0 1 0 1 1 1 0 0 0 1 0 1 0 1 1 1 1 1 0 0 0

More information

UNIT-IV Combinational Logic

UNIT-IV Combinational Logic UNIT-IV Combinational Logic Introduction: The signals are usually represented by discrete bands of analog levels in digital electronic circuits or digital electronics instead of continuous ranges represented

More information

Practical Workbook Logic Design & Switching Theory

Practical Workbook Logic Design & Switching Theory Practical Workbook Logic Design & Switching Theory Name : Year : Batch : Roll No : Department: Second Edition Fall 2017-18 Dept. of Computer & Information Systems Engineering NED University of Engineering

More information

COLLEGE OF ENGINEERING, NASIK

COLLEGE OF ENGINEERING, NASIK Pune Vidyarthi Griha s COLLEGE OF ENGINEERING, NASIK LAB MANUAL DIGITAL ELECTRONICS LABORATORY Subject Code: 2246 27-8 PUNE VIDYARTHI GRIHA S COLLEGE OF ENGINEERING,NASHIK. INDEX Batch : - Sr.No Title

More information

IES Digital Mock Test

IES Digital Mock Test . The circuit given below work as IES Digital Mock Test - 4 Logic A B C x y z (a) Binary to Gray code converter (c) Binary to ECESS- converter (b) Gray code to Binary converter (d) ECESS- To Gray code

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) SUMMER-16 EXAMINATION Model Answer

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) SUMMER-16 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

Logic Families. Describes Process used to implement devices Input and output structure of the device. Four general categories.

Logic Families. Describes Process used to implement devices Input and output structure of the device. Four general categories. Logic Families Characterizing Digital ICs Digital ICs characterized several ways Circuit Complexity Gives measure of number of transistors or gates Within single package Four general categories SSI - Small

More information

Module-20 Shift Registers

Module-20 Shift Registers 1 Module-20 Shift Registers 1. Introduction 2. Types of shift registers 2.1 Serial In Serial Out (SISO) register 2.2 Serial In Parallel Out (SIPO) register 2.3 Parallel In Parallel Out (PIPO) register

More information

EXPERIMENT NO 1 TRUTH TABLE (1)

EXPERIMENT NO 1 TRUTH TABLE (1) EPERIMENT NO AIM: To verify the Demorgan s theorems. APPARATUS REQUIRED: THEORY: Digital logic trainer and Patch cords. The digital signals are discrete in nature and can only assume one of the two values

More information

Linear & Digital IC Applications (BRIDGE COURSE)

Linear & Digital IC Applications (BRIDGE COURSE) G. PULLAIAH COLLEGE OF ENGINEERING AND TECHNOLOGY Accredited by NAAC with A Grade of UGC, Approved by AICTE, New Delhi Permanently Affiliated to JNTUA, Ananthapuramu (Recognized by UGC under 2(f) and 12(B)

More information

COMBINATIONAL CIRCUIT

COMBINATIONAL CIRCUIT Combinational circuit is a circuit in which we combine the different gates in the circuit, for example encoder, decoder, multiplexer and demultiplexer. Some of the characteristics of combinational circuits

More information

DEPARTMENT OF ELECTRICAL & ELECTRONICS ENGINEERING

DEPARTMENT OF ELECTRICAL & ELECTRONICS ENGINEERING DEPARTMENT OF ELECTRICAL & ELECTRONICS ENGINEERING (Regulation 2013) EE 6311 LINEAR AND DIGITAL INTEGRATED CIRCUITS LAB MANUAL 1 SYLLABUS OBJECTIVES: Working Practice in simulators / CAD Tools / Experiment

More information

Digital Electronics 8. Multiplexer & Demultiplexer

Digital Electronics 8. Multiplexer & Demultiplexer 1 Module -8 Multiplexers and Demultiplexers 1 Introduction 2 Principles of Multiplexing and Demultiplexing 3 Multiplexer 3.1 Types of multiplexer 3.2 A 2 to 1 multiplexer 3.3 A 4 to 1 multiplexer 3.4 Multiplex

More information

Combinational Logic Circuits. Combinational Logic

Combinational Logic Circuits. Combinational Logic Combinational Logic Circuits The outputs of Combinational Logic Circuits are only determined by the logical function of their current input state, logic 0 or logic 1, at any given instant in time. The

More information

Mahaveer Institute of Science & Technology

Mahaveer Institute of Science & Technology Mahaveer Institute of Science & Technology Vysapuri, Bandlaguda, post:keshavgiri, Hyderabad-500005 (Approved by AICTE, Affiliated to JNTUH) (A Constitute college of Mahaveer Educational society) EAMCET

More information

Module-1: Logic Families Characteristics and Types. Table of Content

Module-1: Logic Families Characteristics and Types. Table of Content 1 Module-1: Logic Families Characteristics and Types Table of Content 1.1 Introduction 1.2 Logic families 1.3 Positive and Negative logic 1.4 Types of logic families 1.5 Characteristics of logic families

More information

Module 4: Design and Analysis of Combinational Circuits 1. Module-4. Design and Analysis of Combinational Circuits

Module 4: Design and Analysis of Combinational Circuits 1. Module-4. Design and Analysis of Combinational Circuits 1 Module-4 Design and Analysis of Combinational Circuits 4.1 Motivation: This topic develops the fundamental understanding and design of adder, substractor, code converter multiplexer, demultiplexer etc

More information

4-bit counter circa bit counter circa 1990

4-bit counter circa bit counter circa 1990 Digital Logic 4-bit counter circa 1960 8-bit counter circa 1990 Logic gates Operates on logical values (TRUE = 1, FALSE = 0) NOT AND OR XOR 0-1 1-0 0 0 0 1 0 0 0 1 0 1 1 1 0 0 0 1 0 1 0 1 1 1 1 1 0 0 0

More information

R & D Electronics DIGITAL IC TRAINER. Model : DE-150. Feature: Object: Specification:

R & D Electronics DIGITAL IC TRAINER. Model : DE-150. Feature: Object: Specification: DIGITAL IC TRAINER Model : DE-150 Object: To Study the Operation of Digital Logic ICs TTL and CMOS. To Study the All Gates, Flip-Flops, Counters etc. To Study the both the basic and advance digital electronics

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) MODEL ANSWER

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) MODEL ANSWER Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

Electronics. Digital Electronics

Electronics. Digital Electronics Electronics Digital Electronics Introduction Unlike a linear, or analogue circuit which contains signals that are constantly changing from one value to another, such as amplitude or frequency, digital

More information

NOTE: The Flatpak version has the same pinouts (Connection Diagram) as the Dual In-Line Package.

NOTE: The Flatpak version has the same pinouts (Connection Diagram) as the Dual In-Line Package. DECADE COUNTER; 4-BIT BINARY COUNTER The SN54/ and SN54/ are high-speed 4-bit ripple type counters partitioned into two sectio. Each counter has a divide-by-two section and either a divide-by-five () or

More information

Classification of Digital Circuits

Classification of Digital Circuits Classification of Digital Circuits Combinational logic circuits. Output depends only on present input. Sequential circuits. Output depends on present input and present state of the circuit. Combinational

More information

Objective Questions. (a) Light (b) Temperature (c) Sound (d) all of these

Objective Questions. (a) Light (b) Temperature (c) Sound (d) all of these Objective Questions Module 1: Introduction 1. Which of the following is an analog quantity? (a) Light (b) Temperature (c) Sound (d) all of these 2. Which of the following is a digital quantity? (a) Electrical

More information

16 Multiplexers and De-multiplexers using gates and ICs. (74150, 74154)

16 Multiplexers and De-multiplexers using gates and ICs. (74150, 74154) 16 Multiplexers and De-multiplexers using gates and ICs. (74150, 74154) Aim: To design multiplexers and De-multiplexers using gates and ICs. (74150, 74154) Components required: Digital IC Trainer kit,

More information

Spec. Instructor: Center

Spec. Instructor: Center PDHonline Course E379 (5 PDH) Digital Logic Circuits Volume III Spec ial Logic Circuits Instructor: Lee Layton, P.E 2012 PDH Online PDH Center 5272 Meadow Estatess Drive Fairfax, VA 22030-6658 Phone &

More information

Preface... iii. Chapter 1: Diodes and Circuits... 1

Preface... iii. Chapter 1: Diodes and Circuits... 1 Table of Contents Preface... iii Chapter 1: Diodes and Circuits... 1 1.1 Introduction... 1 1.2 Structure of an Atom... 2 1.3 Classification of Solid Materials on the Basis of Conductivity... 2 1.4 Atomic

More information

DELD MODEL ANSWER DEC 2018

DELD MODEL ANSWER DEC 2018 2018 DELD MODEL ANSWER DEC 2018 Q 1. a ) How will you implement Full adder using half-adder? Explain the circuit diagram. [6] An adder is a digital logic circuit in electronics that implements addition

More information

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam MIDTERM EXAMINATION 2011 (October-November) Q-21 Draw function table of a half adder circuit? (2) Answer: - Page

More information

Dhanalakshmi College of Engineering

Dhanalakshmi College of Engineering Dhanalakshmi College of Engineering Manimangalam, Tambaram, Chennai 601 301 DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EE6311 LINEAR AND DIGITAL INTEGRATED CIRCUITS LABORATORY III SEMESTER -

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

Number system: the system used to count discrete units is called number. Decimal system: the number system that contains 10 distinguished

Number system: the system used to count discrete units is called number. Decimal system: the number system that contains 10 distinguished Number system: the system used to count discrete units is called number system Decimal system: the number system that contains 10 distinguished symbols that is 0-9 or digits is called decimal system. As

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R05310402 Set No. 1 1. (a) What are the parameters that are necessary to define the electrical characteristics of CMOS circuits? Mention the typical values of a CMOS NAND gate. (b) Design a CMOS

More information

GATE Online Free Material

GATE Online Free Material Subject : Digital ircuits GATE Online Free Material 1. The output, Y, of the circuit shown below is (a) AB (b) AB (c) AB (d) AB 2. The output, Y, of the circuit shown below is (a) 0 (b) 1 (c) B (d) A 3.

More information

NOTE: The Flatpak version has the same pinouts (Connection Diagram) as the Dual In-Line Package.

NOTE: The Flatpak version has the same pinouts (Connection Diagram) as the Dual In-Line Package. PRESETTABLE BCD/DECADE UP/DOWN COUNTER PRESETTABLE 4-BIT BINARY UP/DOWN COUNTER The SN54/74LS192 is an UP/DOWN BCD Decade (8421) Counter and the SN54/74LS193 is an UP/DOWN MODULO- Binary Counter. Separate

More information

JEFFERSON COLLEGE COURSE SYLLABUS ETC255 INTRODUCTION TO DIGITAL CIRCUITS. 6 Credit Hours. Prepared by: Dennis Eimer

JEFFERSON COLLEGE COURSE SYLLABUS ETC255 INTRODUCTION TO DIGITAL CIRCUITS. 6 Credit Hours. Prepared by: Dennis Eimer JEFFERSON COLLEGE COURSE SYLLABUS ETC255 INTRODUCTION TO DIGITAL CIRCUITS 6 Credit Hours Prepared by: Dennis Eimer Revised Date: August, 2007 By Dennis Eimer Division of Technology Dr. John Keck, Dean

More information

ELECTRONIC CIRCUITS. Time: Three Hours Maximum Marks: 100

ELECTRONIC CIRCUITS. Time: Three Hours Maximum Marks: 100 EC 40 MODEL TEST PAPER - 1 ELECTRONIC CIRCUITS Time: Three Hours Maximum Marks: 100 Answer five questions, taking ANY TWO from Group A, any two from Group B and all from Group C. All parts of a question

More information

Digital Electronics. Functions of Combinational Logic

Digital Electronics. Functions of Combinational Logic Digital Electronics Functions of Combinational Logic Half-dder Basic rules of binary addition are performed by a half adder, which has two binary inputs ( and B) and two binary outputs (Carry out and Sum).

More information

COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC CSCD211- DEPARTMENT OF COMPUTER SCIENCE, UNIVERSITY OF GHANA

COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC CSCD211- DEPARTMENT OF COMPUTER SCIENCE, UNIVERSITY OF GHANA COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC LOGIC Logic is a branch of math that tries to look at problems in terms of being either true or false. It will use a set of statements to derive new true

More information

S-[F] NPW-02 June All Syllabus B.Sc. [Electronics] Ist Year Semester-I & II.doc - 1 -

S-[F] NPW-02 June All Syllabus B.Sc. [Electronics] Ist Year Semester-I & II.doc - 1 - - 1 - - 2 - - 3 - DR. BABASAHEB AMBEDKAR MARATHWADA UNIVERSITY, AURANGABAD SYLLABUS of B.Sc. FIRST & SECOND SEMESTER [ELECTRONICS (OPTIONAL)] {Effective from June- 2013 onwards} - 4 - B.Sc. Electronics

More information

B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics

B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics Sr. No. Date TITLE To From Marks Sign 1 To verify the application of op-amp as an Inverting Amplifier 2 To

More information

HIGH LOW Astable multivibrators HIGH LOW 1:1

HIGH LOW Astable multivibrators HIGH LOW 1:1 1. Multivibrators A multivibrator circuit oscillates between a HIGH state and a LOW state producing a continuous output. Astable multivibrators generally have an even 50% duty cycle, that is that 50% of

More information

Chapter 3 Digital Logic Structures

Chapter 3 Digital Logic Structures Chapter 3 Digital Logic Structures Transistor: Building Block of Computers Microprocessors contain millions of transistors Intel Pentium 4 (2): 48 million IBM PowerPC 75FX (22): 38 million IBM/Apple PowerPC

More information

2 Building Blocks. There is often the need to compare two binary values.

2 Building Blocks. There is often the need to compare two binary values. 2 Building Blocks 2.1 Comparators There is often the need to compare two binary values. This is done using a comparator. A comparator determines whether binary values A and B are: 1. A = B 2. A < B 3.

More information

UNIT III. Designing Combinatorial Circuits. Adders

UNIT III. Designing Combinatorial Circuits. Adders UNIT III Designing Combinatorial Circuits The design of a combinational circuit starts from the verbal outline of the problem and ends with a logic circuit diagram or a set of Boolean functions from which

More information

Function Table of an Odd-Parity Generator Circuit

Function Table of an Odd-Parity Generator Circuit Implementation of an Odd-Parity Generator Circuit The first step in implementing any circuit is to represent its operation in terms of a Truth or Function table. The function table for an 8-bit data as

More information

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design PH-315 COMINATIONAL and SEUENTIAL LOGIC CIRCUITS Hardware implementation and software design A La Rosa I PURPOSE: To familiarize with combinational and sequential logic circuits Combinational circuits

More information

Lecture 3: Logic circuit. Combinational circuit and sequential circuit

Lecture 3: Logic circuit. Combinational circuit and sequential circuit Lecture 3: Logic circuit Combinational circuit and sequential circuit TRAN THI HONG HONG@IS.NAIST.JP Content Lecture : Computer organization and performance evaluation metrics Lecture 2: Processor architecture

More information

SN54/74LS196 SN54/74LS197 4-STAGE PRESETTABLE RIPPLE COUNTERS 4-STAGE PRESETTABLE RIPPLE COUNTERS FAST AND LS TTL DATA 5-372

SN54/74LS196 SN54/74LS197 4-STAGE PRESETTABLE RIPPLE COUNTERS 4-STAGE PRESETTABLE RIPPLE COUNTERS FAST AND LS TTL DATA 5-372 4-STAGE PRESETTABLE RIPPLE COUNTERS The SN54/74LS196 decade counter is partitioned into divide-by-two and divide-by-five sectio which can be combined to count either in BCD (8, 4, 2, 1) sequence or in

More information

Unit level 4 Credit value 15. Introduction. Learning Outcomes

Unit level 4 Credit value 15. Introduction. Learning Outcomes Unit 20: Unit code Digital Principles T/615/1494 Unit level 4 Credit value 15 Introduction While the broad field of electronics covers many aspects, it is digital electronics which now has the greatest

More information

1. The decimal number 62 is represented in hexadecimal (base 16) and binary (base 2) respectively as

1. The decimal number 62 is represented in hexadecimal (base 16) and binary (base 2) respectively as BioE 1310 - Review 5 - Digital 1/16/2017 Instructions: On the Answer Sheet, enter your 2-digit ID number (with a leading 0 if needed) in the boxes of the ID section. Fill in the corresponding numbered

More information

Unit 3. Logic Design

Unit 3. Logic Design EE 2: Digital Logic Circuit Design Dr Radwan E Abdel-Aal, COE Logic and Computer Design Fundamentals Unit 3 Chapter Combinational 3 Combinational Logic Logic Design - Introduction to Analysis & Design

More information

FUNCTION OF COMBINATIONAL LOGIC CIRCUIT

FUNCTION OF COMBINATIONAL LOGIC CIRCUIT HAPTER FUNTION OF OMBINATIONAL LOGI IRUIT OUTLINE HALF-ADDER ANF FULL ADDER IRUIT -BIT PARALLEL BINARY RIPPLE ARRY ADDER -BIT PARALLEL BINARY ARRY LOOK- AHEAD ADDER BD ADDER IRUIT DEODER ENODER MULTIPLEXER

More information

ANALOG TO DIGITAL CONVERTER

ANALOG TO DIGITAL CONVERTER Final Project ANALOG TO DIGITAL CONVERTER As preparation for the laboratory, examine the final circuit diagram at the end of these notes and write a brief plan for the project, including a list of the

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

EECS 150 Homework 4 Solutions Fall 2008

EECS 150 Homework 4 Solutions Fall 2008 Problem 1: You have a 100 MHz clock, and need to generate 3 separate clocks at different frequencies: 20 MHz, 1kHz, and 1Hz. How many flip flops do you need to implement each clock if you use: a) a ring

More information

NOTE: The Flatpak version has the same pinouts (Connection Diagram) as the Dual In-Line Package.

NOTE: The Flatpak version has the same pinouts (Connection Diagram) as the Dual In-Line Package. BCD DECADE/MODULO BINARY SYNCHRONOUS BI-DIRECTIONAL COUNTERS The SN54/ 74LS8 and SN54/ 74LS9 are fully synchronous 4-stage up/down counters featuring a preset capability for programmable operation, carry

More information

The entire range of digital ICs is fabricated using either bipolar devices or MOS devices or a combination of the two. Bipolar Family DIODE LOGIC

The entire range of digital ICs is fabricated using either bipolar devices or MOS devices or a combination of the two. Bipolar Family DIODE LOGIC Course: B.Sc. Applied Physical Science (Computer Science) Year & Sem.: IInd Year, Sem - IIIrd Subject: Computer Science Paper No.: IX Paper Title: Computer System Architecture Lecture No.: 10 Lecture Title:

More information

logic system Outputs The addition of feedback means that the state of the circuit may change with time; it is sequential. logic system Outputs

logic system Outputs The addition of feedback means that the state of the circuit may change with time; it is sequential. logic system Outputs Sequential Logic The combinational logic circuits we ve looked at so far, whether they be simple gates or more complex circuits have clearly separated inputs and outputs. A change in the input produces

More information

R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad. ELECTRONIC PRINCIPLES AND APPLICATIONS

R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad. ELECTRONIC PRINCIPLES AND APPLICATIONS R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad. DEPARTMENT OF PHYSICS QUESTION BANK FOR SEMESTER V PHYSICS PAPER VI (A) ELECTRONIC PRINCIPLES AND APPLICATIONS UNIT I: SEMICONDUCTOR DEVICES

More information

UNIT V. An IC is an Electronic circuit in which the active and passive components are fabricated on a tiny single chip of silicon.

UNIT V. An IC is an Electronic circuit in which the active and passive components are fabricated on a tiny single chip of silicon. UNIT V DEFINITION OF AN INTEGRATED CIRCUIT(IC) An IC is an Electronic circuit in which the active and passive components are fabricated on a tiny single chip of silicon. ADVANTAGES OF ICS 1. Extremely

More information

SIMULATION DESIGN TOOL LABORATORY MANUAL

SIMULATION DESIGN TOOL LABORATORY MANUAL SHANKERSINH VAGHELA BAPU INSTITUTE OF TECHNOLOGY SIMULATION DESIGN TOOL LABORATORY MANUAL B.E. 4 th SEMESTER-2015-16 SHANKERSINH VAGHELA BAPU INSTITUTE OF TECHNOLOGY Gandhinagar-Mansa Road, PO. Vasan,

More information

COURSE LEARNING OUTCOMES AND OBJECTIVES

COURSE LEARNING OUTCOMES AND OBJECTIVES COURSE LEARNING OUTCOMES AND OBJECTIVES A student who successfully fulfills the course requirements will have demonstrated: 1. an ability to analyze and design CMOS logic gates 1-1. convert numbers from

More information

Combinational Circuits DC-IV (Part I) Notes

Combinational Circuits DC-IV (Part I) Notes Combinational Circuits DC-IV (Part I) Notes Digital Circuits have been classified as: (a) Combinational Circuits: In these circuits output at any instant of time depends on inputs present at that instant

More information

Digital Electronics Course Objectives

Digital Electronics Course Objectives Digital Electronics Course Objectives In this course, we learning is reported using Standards Referenced Reporting (SRR). SRR seeks to provide students with grades that are consistent, are accurate, and

More information

15EI205L-ANALOG AND DIGITAL INTEGRATED CIRCUITS LABORATORY MANUAL

15EI205L-ANALOG AND DIGITAL INTEGRATED CIRCUITS LABORATORY MANUAL 15EI205L-ANALOG AND DIGITAL INTEGRATED CIRCUITS LABORATORY MANUAL Department of Electronics and Instrumentation Engineering Faculty of Engineering and Technology Department of Electronics and Instrumentation

More information

Experiment # 4. Binary Addition & Subtraction. Eng. Waleed Y. Mousa

Experiment # 4. Binary Addition & Subtraction. Eng. Waleed Y. Mousa Experiment # 4 Binary Addition & Subtraction Eng. Waleed Y. Mousa 1. Objectives: 1. To study adder and subtractor circuits using logic gates. 2. To construct and test various adders and subtractor circuits.

More information

Lecture 2. Digital Basics

Lecture 2. Digital Basics Lecture Digital Basics Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/teaching/de1_ee/ E-mail: p.cheung@imperial.ac.uk Lecture Slide

More information

DHANALAKSHMI COLLEGE OF ENGINEERING MANIMANGALAM. TAMBARAM, CHENNAI B.E. ELECTRICAL AND ELECTRONICS ENGINEERING III SEMESTER EE6311 Linear and Digital Integrated Circuits Laboratory LABORATORY MANUAL CLASS:

More information

TIL306, TIL307 NUMERIC DISPLAYS WITH LOGIC

TIL306, TIL307 NUMERIC DISPLAYS WITH LOGIC SOLID-STATE DISPLAYS WITH INTEGRAL TTL MSI CIRCUIT CHIP FOR USE IN ALL SYSTEMS WHERE THE DATA TO BE DISPLAYED IS THE PULSE COUNT 6,9-mm (0.270-Inch) Character Height High Luminous Inteity TIL306 Has Left

More information

Digital Electronic Concepts

Digital Electronic Concepts Western Technical College 10662137 Digital Electronic Concepts Course Outcome Summary Course Information Description Career Cluster Instructional Level Total Credits 4.00 Total Hours 108.00 This course

More information

Brought to you by. Priti Srinivas Sajja. PS01CMCA02 Course Content. Tutorial Practice Material. Acknowldgement References. Website pritisajja.

Brought to you by. Priti Srinivas Sajja. PS01CMCA02 Course Content. Tutorial Practice Material. Acknowldgement References. Website pritisajja. Brought to you by Priti Srinivas Sajja PS01CMCA02 Course Content Tutorial Practice Material Acknowldgement References Website pritisajja.info Multiplexer Means many into one, also called data selector

More information

Digital Logic Circuits

Digital Logic Circuits Digital Logic Circuits Let s look at the essential features of digital logic circuits, which are at the heart of digital computers. Learning Objectives Understand the concepts of analog and digital signals

More information

Chapter 4: FLIP FLOPS. (Sequential Circuits) By: Siti Sabariah Hj. Salihin ELECTRICAL ENGINEERING DEPARTMENT EE 202 : DIGITAL ELECTRONICS 1

Chapter 4: FLIP FLOPS. (Sequential Circuits) By: Siti Sabariah Hj. Salihin ELECTRICAL ENGINEERING DEPARTMENT EE 202 : DIGITAL ELECTRONICS 1 Chapter 4: FLIP FLOPS (Sequential Circuits) By: Siti Sabariah Hj. Salihin ELECTRICAL ENGINEERING DEPARTMENT 1 CHAPTER 4 : FLIP FLOPS Programme Learning Outcomes, PLO Upon completion of the programme, graduates

More information

Lecture 15 Analysis of Combinational Circuits

Lecture 15 Analysis of Combinational Circuits Lecture 15 Analysis of Combinational Circuits Designing Combinational Logic Circuits A logic circuit having 3 inputs, A, B, C will have its output HIGH only when a majority of the inputs are HIGH. Step

More information

Sequential Logic Circuits

Sequential Logic Circuits Exercise 2 Sequential Logic Circuits 1 - Introduction Goal of the exercise The goals of this exercise are: - verify the behavior of simple sequential logic circuits; - measure the dynamic parameters of

More information

EXPERIMENT 12: DIGITAL LOGIC CIRCUITS

EXPERIMENT 12: DIGITAL LOGIC CIRCUITS EXPERIMENT 12: DIGITAL LOGIC CIRCUITS The purpose of this experiment is to gain some experience in the use of digital logic circuits. These circuits are used extensively in computers and all types of electronic

More information

ELECTRONICS ADVANCED SUPPLEMENTARY LEVEL

ELECTRONICS ADVANCED SUPPLEMENTARY LEVEL ELECTRONICS ADVANCED SUPPLEMENTARY LEVEL AIMS The general aims of the subject are : 1. to foster an interest in and an enjoyment of electronics as a practical and intellectual discipline; 2. to develop

More information

COMBINATIONAL LOGIC CIRCUIT First Class. Dr. AMMAR ABDUL-HAMED KHADER

COMBINATIONAL LOGIC CIRCUIT First Class. Dr. AMMAR ABDUL-HAMED KHADER COMBINATIONAL LOGIC CIRCUIT First Class 1 BASIC ADDER Adders are important in computers and also in other types of digital system in which numerical data are processed. An understanding of the basic operation

More information

Xeltronix.

Xeltronix. +91-8048720001 Xeltronix https://www.indiamart.com/xeltronix/ Reckoned firms engaged in manufacturing and supplying a quality array of Electronic Trainer Kits, we ensure that our products would serve the

More information

Course Outline Cover Page

Course Outline Cover Page College of Micronesia FSM P.O. Box 159 Kolonia, Pohnpei Course Outline Cover Page Digital Electronics I VEE 135 Course Title Department and Number Course Description: This course provides the students

More information

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012 Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Propagation Delay, Circuit Timing & Adder Design

Propagation Delay, Circuit Timing & Adder Design Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

B.C.A 2017 DIGITAL ELECTRONICS BCA104T MODULE SPECIFICATION SHEET. Course Outline

B.C.A 2017 DIGITAL ELECTRONICS BCA104T MODULE SPECIFICATION SHEET. Course Outline Course Outline B.C.A 2017 DIGITAL ELECTRONICS BCA104T MODULE SPECIFICATION SHEET The purpose of the course is to teach principles of digital electronics. This course covers varieties of topics including

More information

Data Logger by Carsten Kristiansen Napier University. November 2004

Data Logger by Carsten Kristiansen Napier University. November 2004 Data Logger by Carsten Kristiansen Napier University November 2004 Title page Author: Carsten Kristiansen. Napier No: 04007712. Assignment title: Data Logger. Education: Electronic and Computer Engineering.

More information

ECOM 4311 Digital System Design using VHDL. Chapter 9 Sequential Circuit Design: Practice

ECOM 4311 Digital System Design using VHDL. Chapter 9 Sequential Circuit Design: Practice ECOM 4311 Digital System Design using VHDL Chapter 9 Sequential Circuit Design: Practice Outline 1. Poor design practice and remedy 2. More counters 3. Register as fast temporary storage 4. Pipelined circuit

More information

Exam #2 EE 209: Fall 2017

Exam #2 EE 209: Fall 2017 29 November 2017 Exam #2 EE 209: Fall 2017 Name: USCid: Session: Time: MW 10:30 11:50 / TH 11:00 12:20 (circle one) 1 hour 50 minutes Possible Score 1. 27 2. 28 3. 17 4. 16 5. 22 TOTAL 110 PERFECT 100

More information

NORTH MAHARASHTRA UNIVERSITY. F.Y. B. Sc. Electronics. Syllabus. Wieth effect from june2015

NORTH MAHARASHTRA UNIVERSITY. F.Y. B. Sc. Electronics. Syllabus. Wieth effect from june2015 Syllabus Wieth effect from june2015 Paper- I, Semester I ELE-111: Analog Electronics I Unit- I:Introduction to Basic Circuit Components Definition and unit, Circuit Symbol, Working Principle, Classification

More information

Paper No. Name of the Paper Theory marks Practical marks Periods per week Semester-I I Semiconductor

Paper No. Name of the Paper Theory marks Practical marks Periods per week Semester-I I Semiconductor Swami Ramanand Teerth Marathwada University, Nanded B. Sc. First Year Electronics Syllabus Semester system (To be implemented from Academic Year 2009-10) Name of the Theory marks Practical marks Periods

More information

CHW 261: Logic Design

CHW 261: Logic Design CHW 6: Logic Design Instructors: Prof. Hala Zayed Dr. Ahmed Shalaby http://www.bu.edu.eg/staff/halazayed4 http://bu.edu.eg/staff/ahmedshalaby4# Slide Copyright 6 by Pearson Education, Inc. Upper Saddle

More information