CITIROC ASIC. TIPP 2014, Amsterdam 4 June 2014 Salleh AHMAD

Size: px
Start display at page:

Download "CITIROC ASIC. TIPP 2014, Amsterdam 4 June 2014 Salleh AHMAD"

Transcription

1 CITIROC ASIC TIPP 2014, Amsterdam 4 June 2014 Salleh AHMAD Christophe DE LA TAILLE a, Julien FLEURY b, Nathalie SEGUIN- MOREAU a,ludovic RAUX a, Stéphane CALLIER a, Gisele MARTIN CHASSARD a a OMEGA/IN2P3/Ecole Polytechnique b Weeroc SAS

2 Weeroc and Omega Weeroc is a spin- off company from OMEGA lab CEO: Julien Fleury Weeroc addresses industrial needs for microelectronics in Aerospace, Medical imaging, ScienWfic instrumentawon, Homeland security, Nuclear industry Weeroc and OMEGA (13 microelectronics engineers) provide : off- the- shelf FE ASIC (the ROC chip family) customer- specific ASICs Services, Audit, ExperWse OMEGA : formerly a microelectronics group of LAL ORSAY, became an independent lab (IN2P3/CNRS/Ecole Polytechnique) in June Located in Palaiseau, directed by Christophe de La Taille Research InsWtute Industry company Weeroc : OMEGA: omega.in2p3.fr EducaWon University 2

3 SPIROC Presenta5on courtesy of N. Seguin- Moreau, OMEGA/IN2P3 3

4 SPIROC2 PERFORMANCE Presenta5on courtesy of N. Seguin- Moreau, OMEGA/IN2P3 4

5 From EASIROC to CITIROC EASIROC was developed around 2010 by OMEGA Based on SPIROC chips family (c.f. poster *) Used in many experiments 32- channel SiPM readout ASIC: 8- bits Input DAC for each channel Trigger outputs MulWplexed charge output Power consumpwon : 4.84 mw/channel EASIROC - > CITIROC: Pin- to- pin compawble Becer input DACs Peak sensing for CTA Industry transfer to Weeroc * L. Raux - Performances of 2 nd generawon CALICE ASICs 5

6 Experiments using EASIROC PEBS RTWH Aachen J- PARC Tohoku University & KEK MU- RAY INFN Napoli SIPMED IMNC Orsay 6

7 CITIROC descripwon Cherenkov Imaging Telescope Integrated Read Out Chip General ASIC for SiPM readout 32- channel readout, charge and trigger outputs (No ADC/TDC) Power pulsing Individual stage can be turned on or off for various config EvoluWon of EASIROC ASIC (OMEGA) for CTA experiment Power consumpwon : 6.26 mw/channel Front- end Trigger Fast shaper connected to either low or high gain preamp Two discriminators : one for Wming, one for event validawon on energy Energy measurement 2 voltage pre- amplifiers (10x gain difference) followed by shaper Analog memory : track and hold or peak detector Analog output mulwplexer 7

8 CITIROC Architecture 8

9 Input DAC measurements +HV Si PM High voltage on the cable shielding 8-bit DAC ASIC ~ 5V swing for SiPM overvoltage adjustment DAC uniformity between 32 channels~1% 8- bit : LSB ~17 mv Less non- uniformity compared to EASIROC Improvement by a factor of 3 due to complete redesign of the input DAC, with same power consumpwon Allows to compensate non- uniformity between channels by finely adjuswng channel by channel gain (SiPM bias Voltage). 9 Allows tuning of the applied voltage on the SiPM power line.

10 Trigger Linearity Trigger linearity < ± 0.3% Input charges: 1-30 p.e Threshold DAC : 10 bits on 2.25V reference => 2.2 mv LSB Trigger jicer : 60ps for Wming trigger 70ps for validawon trigger *Measurements courtesy of O. Catalano, INAF- Palermo & CTA collaborawon 10

11 Sampling vs Peak detector PD 1800 ADC Unit 1600 SCA Sampling with 2.5 ns step x 2.5 ns Same pulse measured in SCA and PD mode as a func5on of delayed HOLD *Measurements courtesy of O. Catalano, INAF- Palermo & CTA collaborawon 11

12 High Gain Shaper linearity Peak detector With preamp gain sesng HG dynamic range is linear up to 60 pe with residuals of the order of ± 0.3%. Linearity measurement includes the en1re channel chain: pulse generator + a7enuator + Ci1roc + ADC *Measurements courtesy of O. Catalano, INAF- Palermo & CTA collaborawon 12

13 Low Gain Shaper linearity Peak detector With preamp gain sesng LG dynamic range is linear up to 2000 pe with residuals of the order of ± 1%. Linearity measurement includes the whole channel chain: pulse generator + a7enuator + Ci1roc + ADC *Measurements courtesy of O. Catalano, INAF- Palermo & CTA collaborawon 13

14 SiPM Spectrum with CITIROC Gain preamplifier =4*25fF 150 nom. Shaping Time = 50ns Steps of 1,2,3,4,5,6,7,8,9,10 pe SiPM 4 pixel High Gain =150 Shaping Time = 50ns delay 5me = 38 x 2.5 ns Temp = 23.7 C U over = 1V Resistance = 50 Ohm Threshold = 922 DAC ~50% of 1 plateau *Measurements courtesy of O. Catalano, INAF- Palermo & CTA collaborawon 14

15 CTA SST PDM electronics ASSEMBLING SiPM board (9 +1 temperature sensors embedded) FOV = 9.6 Ø = 350mm Front- End board (2 CITIROC ASIC) PDM FPGA Board (XILINX ARTIX 7) Photon Detec5on Module (PDM) Pixel = 0.17! 6.2 x 6.2 mm Images courtesy of INAF- Palermo & CTA collaborawon 15

16 Conclusion & Status Experiment : CTA PDM Assembly CITIROC working properly and currently under tests Mature design Input DAC for HV tuning is working fine Good linearity of HG and LG shapers Excellent trigger linearity ASICs will be available in TFBGA packaging 16

Characterization and performance of the ASIC (CITIROC) front-end of the ASTRI camera arxiv: v1 [physics.ins-det] 31 May 2015

Characterization and performance of the ASIC (CITIROC) front-end of the ASTRI camera arxiv: v1 [physics.ins-det] 31 May 2015 Characterization and performance of the ASIC (CITIROC) front-end of the ASTRI camera arxiv:1506.00264v1 [physics.ins-det] 31 May 2015 D. Impiombato a,, S. Giarrusso a, T. Mineo a, O. Catalano a, C. Gargano

More information

EASIROC, an easy & versatile ReadOut device for SiPM Stéphane CALLIER, Christophe DE LA TAILLE, Gisèle MARTIN-CHASSARD, Ludovic RAUX

EASIROC, an easy & versatile ReadOut device for SiPM Stéphane CALLIER, Christophe DE LA TAILLE, Gisèle MARTIN-CHASSARD, Ludovic RAUX EASIROC, an easy & versatile ReadOut device for SiPM Stéphane CALLIER, Christophe DE LA TAILLE, Gisèle MARTIN-CHASSARD, Ludovic RAUX With precious help of : Dominique CUISY, Jean-Jacques JAEGER, Nathalie

More information

CATIROC a multichannel front-end ASIC to read out the SPMT system of the JUNO experiment

CATIROC a multichannel front-end ASIC to read out the SPMT system of the JUNO experiment CATIROC a multichannel front-end ASIC to read out the SPMT system of the JUNO experiment Dr. Selma Conforti (OMEGA/IN2P3/CNRS) OMEGA microelectronics group Ecole Polytechnique & CNRS IN2P3 http://omega.in2p3.fr

More information

SPACIROC3: A Front-End Readout ASIC for JEM- EUSO cosmic ray observatory

SPACIROC3: A Front-End Readout ASIC for JEM- EUSO cosmic ray observatory : A Front-End Readout ASIC for JEM- EUSO cosmic ray observatory Sylvie Blin-Bondil a1, Pierre Barrillon b, Sylvie Dagoret-Campagne b, Frederic Dulucq a, Christophe de La Taille a, Hiroko Miyamoto b, Camille

More information

PARISROC, a Photomultiplier Array Integrated Read Out Chip

PARISROC, a Photomultiplier Array Integrated Read Out Chip PARISROC, a Photomultiplier Array Integrated Read Out Chip S. Conforti Di Lorenzo a, J.E. Campagne b, F. Dulucq a, C. de La Taille a, G. Martin-Chassard a, M. El Berni a, W. Wei c a OMEGA/LAL/IN2P3, centre

More information

PARISROC, a Photomultiplier Array Integrated Read Out Chip.

PARISROC, a Photomultiplier Array Integrated Read Out Chip. PARISROC, a Photomultiplier Array Integrated Read Out Chip. S. Conforti Di Lorenzo*, J.E.Campagne, F. Dulucq*, C. de La Taille*, G. Martin-Chassard*, M. El Berni. LAL/IN2P3, Laboratoire de l Accélérateur

More information

MAROC: Multi-Anode ReadOut Chip for MaPMTs

MAROC: Multi-Anode ReadOut Chip for MaPMTs Author manuscript, published in "2006 IEEE Nuclear Science Symposium, Medical Imaging Conference, and 15th International Room 2006 IEEE Nuclear Science Symposium Conference Temperature Record Semiconductor

More information

SPIROC : Silicon PM Readout ASIC

SPIROC : Silicon PM Readout ASIC SPIROC : Silicon PM Readout ASIC Stéphane Callier, Frédéric Dulucq, Julien Fleury, Gisèle Martin-Chassard, Christophe de La Taille, Ludovic Raux IN2P3/OMEGA-LAL Orsay 18 june 8 C. de La Taille SPIROC :

More information

FBK SiPM test with a charge integration FE

FBK SiPM test with a charge integration FE FBK SiPM test with a charge integration FE F.Giordano 1, E.Bissaldi 2, M. Cilmo 3, G.Pastore 4, R.Rando 5 1 INFN Bari, 2 INFN Trieste, 3 INFN Napoli, 4 INFN Pisa, 5 INFN Padova For the CTA INFN R&D Project

More information

Front-End electronics developments for CALICE W-Si calorimeter

Front-End electronics developments for CALICE W-Si calorimeter Front-End electronics developments for CALICE W-Si calorimeter J. Fleury, C. de La Taille, G. Martin-Chassard G. Bohner, J. Lecoq, S. Manen IN2P3/LAL Orsay & LPC Clermont http::/www.lal.in2p3.fr/technique/se/flc

More information

Multi-channel front-end board for SiPM readout

Multi-channel front-end board for SiPM readout Preprint typeset in JINST style - HYPER VERSION Multi-channel front-end board for SiPM readout arxiv:1606.02290v1 [physics.ins-det] 7 Jun 2016 M. Auger, A. Ereditato, D. Goeldi, I. Kreslo, D. Lorca, M.

More information

arxiv: v1 [physics.ins-det] 5 Sep 2011

arxiv: v1 [physics.ins-det] 5 Sep 2011 Concept and status of the CALICE analog hadron calorimeter engineering prototype arxiv:1109.0927v1 [physics.ins-det] 5 Sep 2011 Abstract Mark Terwort on behalf of the CALICE collaboration DESY, Notkestrasse

More information

Characterization Test of SensL MicroFJ Device: SMTPA S/N. 1 Lot #150925

Characterization Test of SensL MicroFJ Device: SMTPA S/N. 1 Lot #150925 OSSERVATORIO ASTROFISICO DI CATANIA Characterization Test of SensL MicroFJ Device: SMTPA-60035 S/N. 1 Lot #150925 Osservatorio Astrofisico di Catania G.ROMEO (1),G.BONANNO (1),S.GAROZZO (1),A.GRILLO (1),D.MARANO

More information

MAROC: Multi-Anode ReadOut Chip for MaPMTs

MAROC: Multi-Anode ReadOut Chip for MaPMTs MAROC: Multi-Anode ReadOut Chip for MaPMTs P. Barrillon, S. Blin, M. Bouchel, T. Caceres, C. De La Taille, G. Martin, P. Puzo, N. Seguin-Moreau To cite this version: P. Barrillon, S. Blin, M. Bouchel,

More information

ADC Measurements PARISROC Chip. Selma Conforti Di Lorenzo OMEGA/LAL Orsay

ADC Measurements PARISROC Chip. Selma Conforti Di Lorenzo OMEGA/LAL Orsay ADC Measurements PARISROC Chip Selma Conforti Di Lorenzo OMEGA/LAL Orsay PARISROC ADC Measurements Ecole Microélectronique_11/16 octobre 2009 conforti@lal.in2p3.fr 2 TEST BOARD TEST BENCH ASIC FPGA USB

More information

Characterization of a prototype matrix of Silicon PhotoMultipliers (SiPM s)

Characterization of a prototype matrix of Silicon PhotoMultipliers (SiPM s) Characterization of a prototype matrix of Silicon PhotoMultipliers (SiPM s) N. Dinu, P. Barrillon, C. Bazin, S. Bondil-Blin, V. Chaumat, C. de La Taille, V. Puill, JF. Vagnucci Laboratory of Linear Accelerator

More information

PMF the front end electronic for the ALFA detector

PMF the front end electronic for the ALFA detector PMF the front end electronic for the ALFA detector P. Barrillon, S. Blin, C. Cheikali, D. Cuisy, M. Gaspard, D. Fournier, M. Heller, W. Iwanski, B. Lavigne, C. De La Taille, et al. To cite this version:

More information

A Prototype Amplifier-Discriminator Chip for the GLAST Silicon-Strip Tracker

A Prototype Amplifier-Discriminator Chip for the GLAST Silicon-Strip Tracker A Prototype Amplifier-Discriminator Chip for the GLAST Silicon-Strip Tracker Robert P. Johnson Pavel Poplevin Hartmut Sadrozinski Ned Spencer Santa Cruz Institute for Particle Physics The GLAST Project

More information

KLauS4: A Multi-Channel SiPM Charge Readout ASIC in 0.18 µm UMC CMOS Technology

KLauS4: A Multi-Channel SiPM Charge Readout ASIC in 0.18 µm UMC CMOS Technology 1 KLauS: A Multi-Channel SiPM Charge Readout ASIC in 0.18 µm UMC CMOS Technology Z. Yuan, K. Briggl, H. Chen, Y. Munwes, W. Shen, V. Stankova, and H.-C. Schultz-Coulon Kirchhoff Institut für Physik, Heidelberg

More information

Performance of 8-stage Multianode Photomultipliers

Performance of 8-stage Multianode Photomultipliers Performance of 8-stage Multianode Photomultipliers Introduction requirements by LHCb MaPMT characteristics System integration Test beam and Lab results Conclusions MaPMT Beetle1.2 9 th Topical Seminar

More information

Second generation ASICS for CALICE/EUDET calorimeters

Second generation ASICS for CALICE/EUDET calorimeters Second generation ASICS for CALICE/EUDET calorimeters C. de LA TAILLE on behalf of the CALICE collaboration CALOR08 Pavia 25 may cdlt : 2nd generation ASICs for CALICE/EUDET 2 ILC Challenges for electronics

More information

Overview 256 channel Silicon Photomultiplier large area using matrix readout system The SensL Matrix detector () is the largest area, highest channel

Overview 256 channel Silicon Photomultiplier large area using matrix readout system The SensL Matrix detector () is the largest area, highest channel 技股份有限公司 wwwrteo 公司 wwwrteo.com Page 1 Overview 256 channel Silicon Photomultiplier large area using matrix readout system The SensL Matrix detector () is the largest area, highest channel count, Silicon

More information

arxiv: v1 [astro-ph.im] 19 Nov 2014

arxiv: v1 [astro-ph.im] 19 Nov 2014 Measurements and tests on FBK silicon sensors with an optimized electronic design for a CTA camera arxiv:1411.5241v1 [astro-ph.im] 19 Nov 214 G. Ambrosi (1), M. Ambrosio (2), C. Aramo (2), E. Bissaldi

More information

I. Physikalisches Institut

I. Physikalisches Institut PEBS Electronics W. Karpinski I. Physikalisches Institut Aachen, 10 January 2007 5 Feb 2007 Waclaw Karpinski 1 Outline An overview of the PEBS Electronics Subdetector Readout: -TRD -Tracker -ECAL -ToF

More information

CALICE AHCAL overview

CALICE AHCAL overview International Workshop on the High Energy Circular Electron-Positron Collider in 2018 CALICE AHCAL overview Yong Liu (IHEP), on behalf of the CALICE collaboration Nov. 13, 2018 CALICE-AHCAL Progress, CEPC

More information

Red, Green, Blue (RGB) SiPMs

Red, Green, Blue (RGB) SiPMs Silicon photomultipliers (SiPMs) from First Sensor are innovative solid-state silicon detectors with single photon sensitivity. SiPMs are a valid alternative to photomultiplier tubes. The main benefits

More information

CLARO A fast Front-End ASIC for Photomultipliers

CLARO A fast Front-End ASIC for Photomultipliers An introduction to CLARO A fast Front-End ASIC for Photomultipliers INFN Milano-Bicocca Paolo Carniti Andrea Giachero Claudio Gotti Matteo Maino Gianluigi Pessina 2 nd SuperB Collaboration Meeting Dec

More information

Near Ultraviolet (NUV) SiPMs

Near Ultraviolet (NUV) SiPMs Silicon photomultipliers (SiPMs) from First Sensor are innovative solid-state silicon detectors with single photon sensitivity. SiPMs are a valid alternative to photomultiplier tubes. The main benefits

More information

CMS HG-CAL FEE Krakow

CMS HG-CAL FEE Krakow CMS HG-CAL FEE 2016 - Krakow Damien Thienpont on behalf of the HGC collaboration June 3, 2016 Organization for Micro-Electronics design and Applications CMS Phase-II upgrades Trigger/HLT/DAQ Track information

More information

The Compact Muon Solenoid Experiment. Conference Report. Mailing address: CMS CERN, CH-1211 GENEVA 23, Switzerland

The Compact Muon Solenoid Experiment. Conference Report. Mailing address: CMS CERN, CH-1211 GENEVA 23, Switzerland Available on CMS information server CMS CR -2017/452 The Compact Muon Solenoid Experiment Conference Report Mailing address: CMS CERN, CH-1211 GENEVA 23, Switzerland 12 December 2017 (v4, 03 January 2018)

More information

INFN Milano Bicocca. Andrea Giachero Claudio Gotti Matteo Maino Gianluigi Pessina. Alessandro Baù Andrea Passerini (partial support)

INFN Milano Bicocca. Andrea Giachero Claudio Gotti Matteo Maino Gianluigi Pessina. Alessandro Baù Andrea Passerini (partial support) INFN Milano Bicocca Andrea Giachero Claudio Gotti Matteo Maino Gianluigi Pessina INFN Milano Bicocca Alessandro Baù Andrea Passerini (partial support) Faculty o Physics of the University of Milano Bicocca

More information

SAM (Swift Analogue Memory): a new GHz sampling ASIC for the HESS-II Front-End Electronics.

SAM (Swift Analogue Memory): a new GHz sampling ASIC for the HESS-II Front-End Electronics. SAM (Swift Analogue Memory): a new GHz sampling ASIC for the HESS-II Front-End Electronics. E. Delagnes 1, Y. Degerli 1, P. Goret 1, P. Nayman 2, F. Toussenel 2, P. Vincent 2 1 DAPNIA, CEA/Saclay 2 IN2P3/LPNHE

More information

A 4-Channel Fast Waveform Sampling ASIC in 130 nm CMOS

A 4-Channel Fast Waveform Sampling ASIC in 130 nm CMOS A 4-Channel Fast Waveform Sampling ASIC in 130 nm CMOS E. Oberla, H. Grabas, M. Bogdan, J.F. Genat, H. Frisch Enrico Fermi Institute, University of Chicago K. Nishimura, G. Varner University of Hawai I

More information

ALTIROC ASIC for HGTD ATLAS

ALTIROC ASIC for HGTD ATLAS ALTIROC ASIC for HGTD ATLAS N. Seguin-Moreau OMEGA microelectronics group Ecole Polytechnique & CNRS IN2P3 http://omega.in2p3.fr Collaboration IFAE, LAL, OMEGA, SLAC, SMU High Granular Timing Detector

More information

Highly Segmented Detector Arrays for. Studying Resonant Decay of Unstable Nuclei. Outline

Highly Segmented Detector Arrays for. Studying Resonant Decay of Unstable Nuclei. Outline Highly Segmented Detector Arrays for Studying Resonant Decay of Unstable Nuclei MASE: Multiplexed Analog Shaper Electronics C. Metelko, S. Hudan, R.T. desouza Outline 1. Resonant Decay 2. Detectors 3.

More information

Tutors Dominik Dannheim, Thibault Frisson (CERN, Geneva, Switzerland)

Tutors Dominik Dannheim, Thibault Frisson (CERN, Geneva, Switzerland) Danube School on Instrumentation in Elementary Particle & Nuclear Physics University of Novi Sad, Serbia, September 8 th 13 th, 2014 Lab Experiment: Characterization of Silicon Photomultipliers Dominik

More information

Contents. The AMADEUS experiment at the DAFNE collider. The AMADEUS trigger. SiPM characterization and lab tests

Contents. The AMADEUS experiment at the DAFNE collider. The AMADEUS trigger. SiPM characterization and lab tests Contents The AMADEUS experiment at the DAFNE collider The AMADEUS trigger SiPM characterization and lab tests First trigger prototype; tests at the DAFNE beam Second prototype and tests at PSI beam Conclusions

More information

Fast CMOS Transimpedance Amplifier and Comparator circuit for readout of silicon strip detectors at LHC experiments

Fast CMOS Transimpedance Amplifier and Comparator circuit for readout of silicon strip detectors at LHC experiments Fast CMOS Transimpedance Amplifier and Comparator circuit for readout of silicon strip detectors at LHC experiments Jan Kaplon - CERN Wladek Dabrowski - FPN/UMM Cracow Pepe Bernabeu IFIC Valencia Carlos

More information

The Medipix3 Prototype, a Pixel Readout Chip Working in Single Photon Counting Mode with Improved Spectrometric Performance

The Medipix3 Prototype, a Pixel Readout Chip Working in Single Photon Counting Mode with Improved Spectrometric Performance 26 IEEE Nuclear Science Symposium Conference Record NM1-6 The Medipix3 Prototype, a Pixel Readout Chip Working in Single Photon Counting Mode with Improved Spectrometric Performance R. Ballabriga, M. Campbell,

More information

The HPD DETECTOR. Michele Giunta. VLVnT Workshop "Technical Aspects of a Very Large Volume Neutrino Telescope in the Mediterranean Sea"

The HPD DETECTOR. Michele Giunta. VLVnT Workshop Technical Aspects of a Very Large Volume Neutrino Telescope in the Mediterranean Sea The HPD DETECTOR VLVnT Workshop "Technical Aspects of a Very Large Volume Neutrino Telescope in the Mediterranean Sea" In this presentation: The HPD working principles The HPD production CLUE Experiment

More information

Electronic Readout System for Belle II Imaging Time of Propagation Detector

Electronic Readout System for Belle II Imaging Time of Propagation Detector Electronic Readout System for Belle II Imaging Time of Propagation Detector Dmitri Kotchetkov University of Hawaii at Manoa for Belle II itop Detector Group March 3, 2017 Barrel Particle Identification

More information

A new single channel readout for a hadronic calorimeter for ILC

A new single channel readout for a hadronic calorimeter for ILC A new single channel readout for a hadronic calorimeter for ILC Peter Buhmann, Erika Garutti,, Michael Matysek, Marco Ramilli for the CALICE collaboration University of Hamburg E-mail: sebastian.laurien@desy.de

More information

arxiv: v1 [astro-ph.im] 18 Sep 2017

arxiv: v1 [astro-ph.im] 18 Sep 2017 A Compact High Energy Camera (CHEC) for the Gamma-ray Cherenkov Telescope of the Cherenkov Telescope Array arxiv:1709.05799v1 [astro-ph.im] 18 Sep 2017 R. White Max-Planck-Institut für Kernphysik, P.O.

More information

UVscope status report

UVscope status report 10-th ASTRI General Meeting UVscope status report M. Cettina Maccarone INAF IASF Palermo for the UVscope for ASTRI Team O. Catalano, G. La Rosa, M.C. Maccarone, A. Segreto, B. Biondo, C. Gargano, Fr. Russo,

More information

Chromatic X-Ray imaging with a fine pitch CdTe sensor coupled to a large area photon counting pixel ASIC

Chromatic X-Ray imaging with a fine pitch CdTe sensor coupled to a large area photon counting pixel ASIC Chromatic X-Ray imaging with a fine pitch CdTe sensor coupled to a large area photon counting pixel ASIC R. Bellazzini a,b, G. Spandre a*, A. Brez a, M. Minuti a, M. Pinchera a and P. Mozzo b a INFN Pisa

More information

Silicon Photomultiplier Evaluation Kit. Quick Start Guide. Eval Kit SiPM. KETEK GmbH. Hofer Str Munich Germany.

Silicon Photomultiplier Evaluation Kit. Quick Start Guide. Eval Kit SiPM. KETEK GmbH. Hofer Str Munich Germany. KETEK GmbH Hofer Str. 3 81737 Munich Germany www.ketek.net info@ketek.net phone +49 89 673 467 70 fax +49 89 673 467 77 Silicon Photomultiplier Evaluation Kit Quick Start Guide Eval Kit Table of Contents

More information

MSCF-16-LN (Data sheet V5.0_01)

MSCF-16-LN (Data sheet V5.0_01) (Data sheet V5.0_01) 16 fold Spectroscopy Amplifier with active BLR, CFDs, and Multiplicity Trigger mesytec MSCF-16-LN is an ultra low noise spectroscopy amplifier with active baseline restorer. It provides

More information

Production of HPDs for the LHCb RICH Detectors

Production of HPDs for the LHCb RICH Detectors Production of HPDs for the LHCb RICH Detectors LHCb RICH Detectors Hybrid Photon Detector Production Photo Detector Test Facilities Test Results Conclusions IEEE Nuclear Science Symposium Wyndham, 24 th

More information

Implementation of A Nanosecond Time-resolved APD Detector System for NRS Experiment in HEPS-TF

Implementation of A Nanosecond Time-resolved APD Detector System for NRS Experiment in HEPS-TF Implementation of A Nanosecond Time-resolved APD Detector System for NRS Experiment in HEPS-TF LI Zhen-jie a ; MA Yi-chao c ; LI Qiu-ju a ; LIU Peng a ; CHANG Jin-fan b ; ZHOU Yang-fan a * a Beijing Synchrotron

More information

Prototype of a Compact Imaging System for GEM Detectors Tomohisa Uchida, Member, IEEE, Yowichi Fujita, Manobu Tanaka, Member, IEEE, and Shoji Uno

Prototype of a Compact Imaging System for GEM Detectors Tomohisa Uchida, Member, IEEE, Yowichi Fujita, Manobu Tanaka, Member, IEEE, and Shoji Uno 2698 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 5, OCTOBER 2008 Prototype of a Compact Imaging System for GEM Detectors Tomohisa Uchida, Member, IEEE, Yowichi Fujita, Manobu Tanaka, Member, IEEE,

More information

Test bench for evaluation of radiation hardness in Application Specific Integrated Circuits

Test bench for evaluation of radiation hardness in Application Specific Integrated Circuits SHEP 2016 Workshop on Sensors and High Energy Physics Test bench for evaluation of radiation hardness in Application Specific Integrated Circuits Vlad Mihai PLĂCINTĂ 1,3 Lucian Nicolae COJOCARIU 1,2 1.

More information

Pixel hybrid photon detectors

Pixel hybrid photon detectors Pixel hybrid photon detectors for the LHCb-RICH system Ken Wyllie On behalf of the LHCb-RICH group CERN, Geneva, Switzerland 1 Outline of the talk Introduction The LHCb detector The RICH 2 counter Overall

More information

Readout ASICs and Electronics for the 144-channel HAPDs for the Aerogel RICH at Belle II

Readout ASICs and Electronics for the 144-channel HAPDs for the Aerogel RICH at Belle II Available online at www.sciencedirect.com Physics Procedia 37 (2012 ) 1730 1735 TIPP 2011 - Technology and Instrumentation in Particle Physics 2011 Readout ASICs and Electronics for the 144-channel HAPDs

More information

Plans for RPC DHCAL Prototype. David Underwood Argonne National Laboratory

Plans for RPC DHCAL Prototype. David Underwood Argonne National Laboratory Plans for RPC DHCAL Prototype David Underwood Argonne National Laboratory Linear Collider Meeting, SLAC 7-10 January 2004 Outline Collaborators Goals Motivation Mechanical Structure Chamber Description

More information

Advances in microchannel plate detectors for UV/visible Astronomy

Advances in microchannel plate detectors for UV/visible Astronomy Advances in microchannel plate detectors for UV/visible Astronomy Dr. O.H.W. Siegmund Space Sciences Laboratory, U.C. Berkeley Advances in:- Photocathodes (GaN, Diamond, GaAs) Microchannel plates (Silicon

More information

User Guide. SIB616 4 x 4 SiPM Sensor Interface Board SensL ArrayC P

User Guide. SIB616 4 x 4 SiPM Sensor Interface Board SensL ArrayC P SIB616 4 x 4 SiPM Sensor Interface Board SensL ArrayC-30035-16P Disclaimer Vertilon Corporation has made every attempt to ensure that the information in this document is accurate and complete. Vertilon

More information

Programming Instructions

Programming Instructions Programming Instructions SA- Integrated -axis Hall Sensor General During the manufacturing process or after customer installation, sensor parameters like sensitivity, mismatch of sensitivity and phase

More information

SiPM Module PRELIMINARY

SiPM Module PRELIMINARY The integrates a stable voltage supply, signal amplification, interfaces and the SiPM detector in a compact plug and play unit. Included software allows optimization of the operating point of the detector

More information

PMm 2 : R&D on triggerless acquisition for next generation neutrino experiments

PMm 2 : R&D on triggerless acquisition for next generation neutrino experiments Journal of Instrumentation OPEN ACCESS PMm 2 : R&D on triggerless acquisition for next generation neutrino experiments To cite this article: J E Campagne et al View the article online for updates and enhancements.

More information

A Readout ASIC for CZT Detectors

A Readout ASIC for CZT Detectors A Readout ASIC for CZT Detectors L.L.Jones a, P.Seller a, I.Lazarus b, P.Coleman-Smith b a STFC Rutherford Appleton Laboratory, Didcot, OX11 0QX, UK b STFC Daresbury Laboratory, Warrington WA4 4AD, UK

More information

The High-Voltage Monolithic Active Pixel Sensor for the Mu3e Experiment

The High-Voltage Monolithic Active Pixel Sensor for the Mu3e Experiment The High-Voltage Monolithic Active Pixel Sensor for the Mu3e Experiment Shruti Shrestha On Behalf of the Mu3e Collaboration International Conference on Technology and Instrumentation in Particle Physics

More information

Ultra fast single photon counting chip

Ultra fast single photon counting chip Ultra fast single photon counting chip P. Grybos, P. Kmon, P. Maj, R. Szczygiel Faculty of Electrical Engineering, Automatics, Computer Science and Biomedical Engineering AGH University of Science and

More information

MICOD CHARGE SENSITIVE AMPLIFIER CSA-250

MICOD CHARGE SENSITIVE AMPLIFIER CSA-250 MICOD CHARGE SENSITIVE AMPLIFIER CSA-250 Revision: January 2018 FEATURES: Unipolar power supply Ultra-low consumption Hermetically sealed housing Small size Metal case Low cost APPLICATIONS: Medical equipment

More information

Results of cold charge sensitive preamplifiers tests with SUB detector. D. Budjas, A. D Andragora, C. Cattadori, A. Pullia, S. Riboldi, F.

Results of cold charge sensitive preamplifiers tests with SUB detector. D. Budjas, A. D Andragora, C. Cattadori, A. Pullia, S. Riboldi, F. Results of cold charge sensitive preamplifiers tests with SUB detector. D. Budjas, A. D Andragora, C. Cattadori, A. Pullia, S. Riboldi, F. Zocca Outline Purpose of the work: Test of FE circuits in the

More information

Design of a Novel Front-End Readout ASIC for PET Imaging System *

Design of a Novel Front-End Readout ASIC for PET Imaging System * Journal of Signal and Information Processing, 2013, 4, 129-133 http://dx.doi.org/10.4236/jsip.2013.42018 Published Online May 2013 (http://www.scirp.org/journal/jsip) 129 Design of a Novel Front-End Readout

More information

Progress towards a 256 channel multianode microchannel plate photomultiplier system with picosecond timing

Progress towards a 256 channel multianode microchannel plate photomultiplier system with picosecond timing Progress towards a 256 channel multianode microchannel plate photomultiplier system with picosecond timing J S Lapington 1, T Conneely 1,3, T J R Ashton 1, P Jarron 2, M Despeisse 2, and F Powolny 2 1

More information

NEEP 427 PROPORTIONAL COUNTERS. Knoll, Chapters 6 & 14 Sect. I & II

NEEP 427 PROPORTIONAL COUNTERS. Knoll, Chapters 6 & 14 Sect. I & II NEEP 427 PROPORTIONAL COUNTERS References: Knoll, Chapters 6 & 14 Sect. I & II a proportional counter the height of the output pulse is proportional to the number of ion pairs produced in the counter gas.

More information

R D 5 3 R D 5 3. Recent Progress of RD53 Collaboration towards next generation Pixel ROC for HL_LHC

R D 5 3 R D 5 3. Recent Progress of RD53 Collaboration towards next generation Pixel ROC for HL_LHC R D 5 3 Recent Progress of RD53 Collaboration towards next generation Pixel ROC for HL_LHC L. Demaria - INFN / Torino on behalf of RD53 Collaboration 1 Talk layout 1. Introduction 2. RD53 Organization

More information

Study of the ALICE Time of Flight Readout System - AFRO

Study of the ALICE Time of Flight Readout System - AFRO Study of the ALICE Time of Flight Readout System - AFRO Abstract The ALICE Time of Flight Detector system comprises about 176.000 channels and covers an area of more than 100 m 2. The timing resolution

More information

TPC Readout with GEMs & Pixels

TPC Readout with GEMs & Pixels TPC Readout with GEMs & Pixels + Linear Collider Tracking Directional Dark Matter Detection Directional Neutron Spectroscopy? Sven Vahsen Lawrence Berkeley Lab Cygnus 2009, Cambridge Massachusetts 2 Our

More information

A 130nm CMOS Evaluation Digitizer Chip for Silicon Strips readout at the ILC

A 130nm CMOS Evaluation Digitizer Chip for Silicon Strips readout at the ILC A 130nm CMOS Evaluation Digitizer Chip for Silicon Strips readout at the ILC Jean-Francois Genat Thanh Hung Pham on behalf of W. Da Silva 1, J. David 1, M. Dhellot 1, D. Fougeron 2, R. Hermel 2, J-F. Huppert

More information

R & D for Aerogel RICH

R & D for Aerogel RICH 1 R & D for Aerogel RICH Ichiro Adachi KEK Proto-Collaboration Meeting March 20, 2008 2 1 st Cherenkov Image detected by 3 hybrid avalanche photon detectors from a beam test About 3:00 AM TODAY Clear image

More information

Multi-Element Si Sensor with Readout ASIC for EXAFS Spectroscopy 1

Multi-Element Si Sensor with Readout ASIC for EXAFS Spectroscopy 1 Multi-Element Si Sensor with Readout ASIC for EXAFS Spectroscopy 1 Gianluigi De Geronimo a, Paul O Connor a, Rolf H. Beuttenmuller b, Zheng Li b, Antony J. Kuczewski c, D. Peter Siddons c a Microelectronics

More information

Status of Front End Development

Status of Front End Development Status of Front End Development Progress of CSA and ADC studies Tim Armbruster tim.armbruster@ziti.uni-heidelberg.de CBM-XYTER Family Planning Workshop Schaltungstechnik und 05.12.2008 Introduction Previous

More information

Development of a 20 GS/s Sampling Chip in 130nm CMOS Technology

Development of a 20 GS/s Sampling Chip in 130nm CMOS Technology Development of a 20 GS/s Sampling Chip in 130nm CMOS Technology 2009 IEEE Nuclear Science Symposium, Orlando, Florida, October 28 th 2009 Jean-Francois Genat On behalf of Mircea Bogdan 1, Henry J. Frisch

More information

Nuclear Instruments and Methods in Physics Research A

Nuclear Instruments and Methods in Physics Research A Nuclear Instruments and Methods in Physics Research A ] (]]]]) ]]] ]]] Contents lists available at SciVerse ScienceDirect Nuclear Instruments and Methods in Physics Research A journal homepage: www.elsevier.com/locate/nima

More information

Readout electronics of silicon detectors used in space cosmic ray charges. measurement

Readout electronics of silicon detectors used in space cosmic ray charges. measurement Readout electronics of silicon detectors used in space cosmic ray charges measurement ZHANG Fei ( 张飞 ) 1 FAN Rui Rui ( 樊瑞睿 ) 1 PENG Wen Xi ( 彭文溪 ) 1 DONG Yi Fan ( 董亦凡 ) 1,2 GONG Ke ( 龚轲 ) 1 LIANG Xiao

More information

SPM Series Quick Start Experiment Guide Rev.1.0, May 2011

SPM Series Quick Start Experiment Guide Rev.1.0, May 2011 Experiment Guide Rev.1.0, May 2011 This document will assist a new user of SPM detectors to make observations and measurements that will verify that the detector is set-up and functioning correctly. The

More information

AGATA Local Level Processing. - Pulse. Shape. Analysis - AGATA Week, LNL, September 15-19, 2003 Thorsten Kröll (TU München) for the AGATA PSA Team

AGATA Local Level Processing. - Pulse. Shape. Analysis - AGATA Week, LNL, September 15-19, 2003 Thorsten Kröll (TU München) for the AGATA PSA Team AGATA Local Level Processing - Pulse Shape Analysis - γ -.5-1 -.5 rel. amplitude -1 -.5-1 1234 1234 1234 1234 t [ns] AGATA Week, LNL, September 15-19, 23 Thorsten Kröll (TU München) for the AGATA PSA Team

More information

Design and Test of a 65nm CMOS Front-End with Zero Dead Time for Next Generation Pixel Detectors

Design and Test of a 65nm CMOS Front-End with Zero Dead Time for Next Generation Pixel Detectors Design and Test of a 65nm CMOS Front-End with Zero Dead Time for Next Generation Pixel Detectors L. Gaioni a,c, D. Braga d, D. Christian d, G. Deptuch d, F. Fahim d,b. Nodari e, L. Ratti b,c, V. Re a,c,

More information

Traditional analog QDC chain and Digital Pulse Processing [1]

Traditional analog QDC chain and Digital Pulse Processing [1] Giuliano Mini Viareggio April 22, 2010 Introduction The aim of this paper is to compare the energy resolution of two gamma ray spectroscopy setups based on two different acquisition chains; the first chain

More information

Development of a large area silicon pad detector for the identification of cosmic ions

Development of a large area silicon pad detector for the identification of cosmic ions Development of a large area silicon pad detector for the identification of cosmic ions M.Y. Kim 1,2 P.S. Marrocchesi 1, C. Avanzini 2, M.G. Bagliesi 1, G. Bigongiari 1,A. Caldarone 1,R. Cecchi 1,, P. Maestro

More information

Applications of a Pixellated Detection System to Digital Mammography

Applications of a Pixellated Detection System to Digital Mammography Applications of a Pixellated Detection System to Digital Mammography Valeria Rosso Dipartimento di Fisica, Universita di Pisa and Sezione INFN Pisa, Italy + valeria.rosso@pi.infn.it Outline The detection

More information

CAEN. Electronic Instrumentation. CAEN Silicon Photomultiplier Kit

CAEN. Electronic Instrumentation. CAEN Silicon Photomultiplier Kit CAEN Tools for Discovery Electronic Instrumentation CAEN Silicon Photomultiplier Kit CAEN realized a modular development kit dedicated to Silicon Photomultipliers, representing the state-of-the art in

More information

Circuit Architecture for Photon Counting Pixel Detector with Threshold Correction

Circuit Architecture for Photon Counting Pixel Detector with Threshold Correction Circuit Architecture for Photon Counting Pixel Detector with Threshold Correction Dr. Amit Kr. Jain Vidya college of Engineering, Vidya Knowledge Park, Baghpat Road, Meerut 250005 UP India dean.academics@vidya.edu.in

More information

Introduction Test results standard tests Test results extended tests Conclusions

Introduction Test results standard tests Test results extended tests Conclusions Production and Tests of Hybrid Photon Detectors for the LHCb RICH Detectors, University of Edinburgh On behalf of the LHCb experiment Introduction Test results standard tests Test results extended tests

More information

Performance of the MCP-PMTs of the TOP counter in the first beam operation of the Belle II experiment

Performance of the MCP-PMTs of the TOP counter in the first beam operation of the Belle II experiment Performance of the MCP-PMTs of the TOP counter in the first beam operation of the Belle II experiment K. Matsuoka (KMI, Nagoya Univ.) on behalf of the Belle II TOP group 5th International Workshop on New

More information

The Scintillator HCAL Testbeam Prototype

The Scintillator HCAL Testbeam Prototype 2005 International Linear Collider Workshop - Stanford, U.S.A. The Scintillator HCAL Testbeam Prototype F. Sefkow DESY, Hamburg, Germany CALICE Collaboration The CALICE tile HCAL group has completed the

More information

Development of a sampling ASIC for fast detector signals

Development of a sampling ASIC for fast detector signals Development of a sampling ASIC for fast detector signals Hervé Grabas Work done in collaboration with Henry Frisch, Jean-François Genat, Eric Oberla, Gary Varner, Eric Delagnes, Dominique Breton. Signal

More information

The PERDaix Detector. Thomas Kirn I. Physikalisches Institut B. July 5 th 2011, 6 th International Conference on New Developments In Photodetection

The PERDaix Detector. Thomas Kirn I. Physikalisches Institut B. July 5 th 2011, 6 th International Conference on New Developments In Photodetection Proton Electron Radiation Detector Aix la Chapelle The PERDaix Detector Thomas Kirn I. Physikalisches Institut B July 5 th 2011, 6 th International Conference on New Developments In Photodetection Motivation

More information

INDEX. Firmware for DPP (Digital Pulse Processing) DPP-PSD Digital Pulse Processing for Pulse Shape Discrimination

INDEX. Firmware for DPP (Digital Pulse Processing) DPP-PSD Digital Pulse Processing for Pulse Shape Discrimination Firmware for DPP (Digital Pulse Processing) Thanks to the powerful FPGAs available nowadays, it is possible to implement Digital Pulse Processing (DPP) algorithms directly on the acquisition boards and

More information

A tracking detector to study O(1 GeV) ν μ CC interactions

A tracking detector to study O(1 GeV) ν μ CC interactions A tracking detector to study O(1 GeV) ν μ CC interactions Laura Pasqualini on behalf of the mm-tracker Collaboration IPRD16, 3-6 October 2016, Siena Motivations ν/μ Tracking system for a light magnetic

More information

PoS(PhotoDet 2012)030

PoS(PhotoDet 2012)030 NECTAR: New Electronics for the Cherenkov Telescope Array a, J. Bolmont a, P. Corona a, E. Delagnes b, D. Dzahini c, F. Feinstein d, D. Gascon e, J.-F. Glicenstein b, P. Nayman a, F. Rarbi c, M. Ribó e,

More information

Picosecond time measurement using ultra fast analog memories.

Picosecond time measurement using ultra fast analog memories. Picosecond time measurement using ultra fast analog memories. Dominique Breton a, Eric Delagnes b, Jihane Maalmi a acnrs/in2p3/lal-orsay, bcea/dsm/irfu breton@lal.in2p3.fr Abstract The currently existing

More information

A 4 Channel Waveform Sampling ASIC in 130 nm CMOS

A 4 Channel Waveform Sampling ASIC in 130 nm CMOS A 4 Channel Waveform Sampling ASIC in 130 nm CMOS E. Oberla, H. Grabas, J.F. Genat, H. Frisch Enrico Fermi Institute, University of Chicago K. Nishimura, G. Varner University of Hawai I Large Area Picosecond

More information

Transmission-Line Readout with Good Time and Space Resolution for Large-Area MCP-PMTs

Transmission-Line Readout with Good Time and Space Resolution for Large-Area MCP-PMTs Transmission-Line Readout with Good Time and Space Resolution for Large-Area MCP-PMTs Fukun Tang (UChicago) C. Ertley, H. Frisch, J-F. Genat, Tyler Natoli (UChicago) J. Anderson, K. Byrum, G. Drake, E.

More information

A Novel Design of a High-Resolution Hodoscope for the Hall D Tagger Based on Scintillating Fibers

A Novel Design of a High-Resolution Hodoscope for the Hall D Tagger Based on Scintillating Fibers A Novel Design of a High-Resolution Hodoscope for the Hall D Tagger Based on Scintillating Fibers APS Division of Nuclear Physics Meeting October 25, 2008 GlueX Photon Spectrum Bremsstrahlung in diamond

More information

Particle ID in the Belle II Experiment

Particle ID in the Belle II Experiment Particle ID in the Belle II Experiment Oskar Hartbrich University of Hawaii at Manoa for the Belle2 TOP Group IAS HEP 2017, HKUST SuperKEKB & Belle II Next generation B factory at the intensity frontier

More information

CC2 Charge Sensitive Preamplifier: Experimental Results and Ongoing Development

CC2 Charge Sensitive Preamplifier: Experimental Results and Ongoing Development GERDA Meeting at LNGS - 2 / 2010 CC2 Charge Sensitive Preamplifier: Experimental Results and Ongoing Development Stefano Riboldi, Alessio D Andragora, Carla Cattadori, Francesca Zocca, Alberto Pullia Starting

More information

Meeting with STM HV-CMOS

Meeting with STM HV-CMOS Meeting with STM HV-CMOS!! Giovanni Darbo INFN- Genova o Credits: Most of the material in these slides come from presenta

More information