Size: px
Start display at page:

Download ""

Transcription

1 DHANALAKSHMI COLLEGE OF ENGINEERING MANIMANGALAM. TAMBARAM, CHENNAI B.E. ELECTRICAL AND ELECTRONICS ENGINEERING III SEMESTER EE6311 Linear and Digital Integrated Circuits Laboratory LABORATORY MANUAL CLASS: II YEAR EEE ` SEMESTER: III

2 LIST OF EXPERIMENTS 1. APPLICATION OF OP-AMP I 2. APPLICATION OF OP-AMP II 3. APPLICATION OF 555 TIMER I 4. APPLICATION OF 555 TIMER II 5. STUDY OF BASIC GATES 6. IMPLEMENTATION OF BOOLEAN FUNCTIONS 7. IMPLEMENTATION OF ADDER AND SUBTRACTOR 8. CODE CONVERSION 9. PARITY GENERATORS AND CHECKERS 10. MULTIPLEXER AND DEMULTIPLEXER 11. ENCODER AND DECODER 12. REALISATION OF DIFFERENT FLIP-FLOPS USING LOGIC GATES 13. REALISATION OF COUNTERS 14. REALISATION OF SHIFT REGISTERS 15. FREQUENCY MULTIPLICATION USING PHASE LOCKED LOOP 16. VOLTAGE CONTROLLED OSCILLATOR USING 566 STAFF INCHARGE HOD/EEE

3 S. No. Date Name of the Experiment Marks Signature

4 1. APPLICATIONS OF OP-AMP-I AIM: To design an inverting amplifier, non-inverting amplifier and voltage follower for the given specifications using Op-Amp IC 741 REFERENCE BOOKS: 1. Ramakant A.Gayakward, Op-amps and Linear Integrated Circuits, IV edition, Pearson Education, 2003 / PHI. (2000). 2. D.Roy Choudhary, Sheil B.Jani, Linear Integrated Circuits, II edition, New Age, APPARATUS REQUIRED: Sl. No. Name of the Apparatus Range Quantity 1 Function Generator 20 MHz 1 2 CRO 30 MHz 1 3 Dual RPS 0 30 V 1 4 Op-Amp IC Bread Board 1 6 Resistors As required 7 Connecting wires and probes As required THEORY: INVERTING SUMMING AMPLIFIER Summing amplifier is a type operational amplifier circuit which can be used to sum signals. The sum of the input signal is amplified by a certain factor and made available at the output.any number of input signal can be summed using an op-amp. The circuit shown is a three input summing amplifier in the inverting mode. In the circuit, the input signals Va, Vb, Vc are applied to the inverting input of the opamp through input resistors Ra, Rb, Rc. Any number of input signals can be applied to the inverting input in the above manner. Rf is the feedback resistor. Non inverting input of the opamp is grounded using resistor Rm. RL is the load resistor. NON-INVERTING SUMMING AMPLIFIER A non inverting summing amplifier circuit with three inputs is shown above. The voltage inputs Va, Vb and Vc are applied to non inverting input of the op-amp. Rf is the

5 feedback resistor. The output voltage of the circuit is governed by the equation; VOLTAGE FOLLOWER Vo = (1+ (R f /R 1 )) (( V a +V b +V c )/3) A unity gain buffer amplifier may be constructed by applying a full series negative feedback (Fig. 2) to an op-amp simply by connecting its output to its inverting input, and connecting the signal source to the non-inverting input (Fig. 3). In this configuration, the entire output voltage (β = 1 in Fig. 2) is placed contrary and in series with the input voltage. Thus the two voltages are subtracted according to Kirchhoff's voltage law (KVL) and their difference is applied to the op-amp differential input. This connection forces the op-amp to adjust its output voltage simply equal to the input voltage (Vout follows Vin so the circuit is named op-amp voltage follower). PRECAUTIONS: Output voltage will be saturated if it exceeds ± 15V. PROCEDURE: 1. Connections are given as per the circuit diagram Vcc and - Vcc supply is given to the power supply terminal of the Op-Amp IC. 3. By adjusting the amplitude and frequency knobs of the function generator, appropriate input voltage is applied to the non - inverting input terminal of the Op- Amp. 4. The output voltage is obtained in the CRO and the input and output voltage waveforms are plotted in a graph sheet. PIN DIAGRAM:

6 CIRCUIT DIAGRAM: Inverting Summing Amplifier DESIGN: If resistor Ra, Rb, Rc has same value ie; Ra=Rb=Rc=R We know for an inverting Amplifier, ACL = R F / R Vo = - (Rf/R) x (Va + Vb +Vc) If the values of R f and R are made equal, then the equation becomes, Vo = - (Va + Vb +Vc) Rm = Ra Rb Rc Rf OBSERVATIONS: Sl. No. V a in Volt V b in Volt V c in Volt V o in Volt

7 CIRCUIT DIAGRAM: Non-Inverting summing Amplifier DESIGN: Assume R 1 =R 2 =R 3 =R f /2=R We know for a Non-inverting Summing Amplifier V o = (1+ (R f /R 1 )) (( V a +V b +V c )/3) V 0 = (V 1 +V 2 +V 3 ) OBSERVATIONS: Sl. No. V a in Volt V b in Volt V c in Volt V o in Volt

8 CIRCUIT DIAGRAM: Voltage Follower Model Graph: RESULT: The design and testing of the Inverting, Non-inverting amplifier and Voltage Follower is done and the input and output waveforms were drawn.

9 AIM: 2. APPLICATIONS OF OP-AMP-II (Differentiator and Integrator) To design a Differentiator circuit for the given specifications using Op-Amp IC 741 REFERENCE BOOKS: 1. Ramakant A.Gayakward, Op-amps and Linear Integrated Circuits, IV edition, Pearson Education, 2003 / PHI. (2000). 2. D.Roy Choudhary, Sheil B.Jani, Linear Integrated Circuits, II edition, New Age, APPARATUS REQUIRED: THEORY: S. No Name ofthe Apparatus Range Quantity 1. AFO 20 MHz 1 2. CRO 30 MHz 1 3. Dual RPS 0 30 V 1 4. Timer IC IC Bread Board 1 6. Resistors 7. Capacitors 8. Connecting wires and probes As required Differentiator The differentiator circuit performs the mathematical operation of differentiation; that is, the output waveform is the derivative of the input waveform. The differentiator may be constructed from a basic inverting amplifier if an input resistor R1 is replaced by a capacitor C1. The expression for the output voltage is given as, Vo = - Rf C1 (dvi /dt) Here the negative sign indicates that the output voltage is out of phase with the input signal. A resistor Rcomp = Rf is normally connected to the non-inverting input terminal of the op-amp to compensate for the input bias current. A workable differentiator can be designed by implementing the following steps: 1. Select fa equal to the highest frequency of the input signal to be differentiated. Then, assuming a value of C1 <1 µf, calculate the value of Rf. 2. Choose fb = 20 fa and calculate the values of R1 and Cf so that R1C1 = Rf Cf. 3. The differentiator is most commonly used in wave shaping circuits to detect high frequency components in an input signal and also as a rate of change detector in FM modulators.

10 CIRCUIT DIAGRAM : (Differentiator) DESIGN: Given: fa = We know the frequency at which the gain is 0 db, fa =1 /(2π Rf C1) Let us assume C1 = 0.1 µf; then Rf = Since fb = 10 fa, fb = We know that the gain limiting frequency fb = 1 / (2π R1 C1) Hence R1 = Also since R1C1 = Rf Cf ; Cf = OBSERVATIONS: Sl. No. Waveforms Amplitude in Volt 1 Input Waveform 2 Output Waveform

11 CIRCUIT DIAGRAM : (Integrator) DESIGN: We know the frequency at which the gain is 0 db, fa = 1 / (2π Rf ) Therefore Rf = Since fb = 10 fa, and also the gain limiting frequency fb =1 / (2π R1Cf) We get, R1 = OBSERVATIONS: Sl. No. Waveforms Amplitude in Volt Time Period in ms 1 Input Waveform 2 Output Waveform

12 Pin diagram:. THEORY: Integrator A circuit in which the output voltage waveform is the integral of the input voltage waveform is the integrator. Such a circuit is obtained by using a basic inverting amplifier configuration if the feedback resistor Rf is replaced by a capacitor Cf. The expression for the output voltage is given as, Vo = - (1/Rf C1) Vi dt Here the negative sign indicates input signal. Normally between fa and fb < fb. The input signal will be integrated or equal to Rf Cf. That is, that the output voltage is out of phase with the the circuit acts as an integrator. Generally, the value of fa properly if the Time period T of the signal is larger than

13 T Rf Cf The integrator is most commonly used in analog computers and ADC and signalwave shaping circuits. PROCEDURE: 1. Connections are given as per the circuit diagram Vcc and - Vcc supply is given to the power supply terminal of the Op-Amp IC. 3. By adjusting the amplitude and frequency knobs of the function generator, appropriate input voltage is applied to the inverting input terminal of the Op-Amp. The output voltage is obtained in the CRO and the input and output voltage waveforms are plotted in a graph sheet. MODEL GRAPH:

14 DISCUSS QUESTIONS: 1. What is integrator? 2. Write the disadvantages of ideal integrator? 3. Write the application ofintegrator? 4. Why compensation resistance is needed inintegrator and how will you findit values? 5. What is differentiator? 6. Write the disadvantages of ideal differentiator. 7. Write the application of differentiator? 8. Why compensation resistance is needed in differentiator and how will you findit values? Why integrators are preferred over differentiators in analog comparators? MODEL GRAPH: Comparator OBSERVATIONS: Sl. No. Waveforms Amplitude in Volt Time Period in ms 1 Input Waveform 2 Output Waveform RESULT: The design of the Integrator, Differentiator and Voltage Follower circuit was done and the input and output waveforms were obtained.

15 3. TIMER APPLICATION ASTABLE MULTIVIBRATOR AIM: To design an astable multivibrator circuit for the given specifications using 555 Timer IC. REFERENCE BOOKS: 1. Ramakant A.Gayakward, Op-amps and Linear Integrated Circuits, IV edition, Pearson Education, 2003 / PHI. (2000). 2. D.Roy Choudhary, Sheil B.Jani, Linear Integrated Circuits, II edition, New Age, APPARATUS REQUIRED: Sl. No. Name of the Apparatus Range Quantity 1 CRO 30 MHz 1 2 Dual RPS 0 30 V 1 3 Timer IC IC Bread Board 1 5 Connecting wires and probes As required THEORY: An astable multivibrator, often called a free-running multivibrator, is a rectangularwave-generating circuit. This circuit does not require an external trigger to change the state of the output. The time during which the output is either high or low is determined by two resistors and a capacitor, which are connected externally to the 555 timer. The time during which the capacitor charges from 1/3 Vcc to 2/3Vcc is equal to the time the output is high and is given by, t c = 0.69 (R 1 +R 2 ) C Similarly the time during which the capacitor discharges from 2/3 Vcc to 1/3 Vcc is equal to the time the output islow and is given by, t d = 0.69 (R 2 ) C Thus the total time periodof the output waveform is, T = t c + t d = 0.69 (R 1 +2 R 2 ) C The term duty cycle is often used in conjunction with the astable multivibrator. The duty cycle is the ratio of the time tc during which the output is high to the total time period T. It is generally expressed in percentage. In equation form, % duty cycle =[(R 1 +R 2 ) /(R R 2 )] x 100

16 PIN DIAGRAM: CIRCUIT DIAGRAM: DESIGN: Given f= 4 KHz, Therefore, Total time period, T = 1/f = We know, duty cycle = t c /T Therefore, t c = and td = Therefore, R 2 = We also know for an astable multivibrator td = 0.69 (R2) C t c = 0.69 (R 1 + R 2 ) C Therefore, R 1 =

17 OBSERVATIONS: Sl. No. Waveforms Amplitude in Volt Time Period in ms 1 Output Waveform 2 Capacitor voltage PROCEDURE: 1. Connections are given as per the circuit diagram V supply is given to the + Vcc terminal of the timer IC. 3. At pin 3the output waveform is observed with the help of a CRO 4. At pin 6 the capacitor voltage is obtained in the CRO and the V0 and Vc voltage waveforms are plotted in a graph sheet.

18 DISCUSSION QUESTIONS: 1. Define Offset voltage. 2. Define duty cycle. 3. Mention the applications of IC Give the methods for obtaining symmetrical square wave. 5. What is the other name for monostable multivibrator? 6. Explain the operation of IC555 in astable mode.. 7. Why negative pulse is used as trigger? RESULT: The design of the Astable multivibrator circuit was done and the output voltage and capacitor voltage waveforms were obtained.

19 4. TIMER APPLICATION MONOSTABLE MULTIVIBRATOR AIM: To designamonostable multivibrator circuit for the given specifications using 555 Timer IC. REFERENCE BOOKS: 1. Ramakant A.Gayakward, Op-amps and Linear Integrated Circuits, IV edition, Pearson Education, 2003 / PHI. (2000). 2. D.Roy Choudhary, Sheil B.Jani, Linear Integrated Circuits, II edition, New Age, APPARATUS REQUIRED: Sl. No Name of the Apparatus Range Quantity 1. AFO 20 MHz 1 2. CRO 30 MHz 1 3. Dual RPS 0 30 V 1 4. Timer IC IC Bread Board 1 6. Connecting wires and probes As required THEORY: A monostable multivibrator often called a one-shot multivibrator is a pulse generating circuit in which the duration of the pulse is determined by the RC network connected externally to the 555 timer. In a stable or stand-by state the output of the circuit is approximately zero or at logic low level. When an external trigger pulse is applied, the output is forced to go high (approx. Vcc). The time during which the output remains highis given by, t p = 1.1 R 1 C At the end of the timing interval, the output automatically reverts back to its logic low state. The output stays low until a trigger pulse is applied again. Then the cycle repeats. Thus the monostable state has only one stable state hence the name monostable. PROCEDURE: 1. Connections are given as per the circuit diagram V supply is given to the + Vcc terminal of the timer IC. 3. A negative trigger pulse ofless than (1/3 VCC) i.e Groundto pin 2 ofthe 555 IC 4. At pin 3the output time period is observed with the help of a LED or CRO 5. At pin 6 the capacitor voltage is obtained in the CRO and the V0 and Vc voltage waveforms are plotted in a graph sheet.

20 CIRCUIT DIAGRAM: DESIGN: Consider VCC = 5V, for given tp Output pulse width tp = 1.1 RA C Assume C in the order of microfarads & Find RA Typical values: If C=0.1 µf, RA = 10k then tp = 1.1 msec Trigger Voltage =4 V PIN DIAGRAM:

21 OBSERVATIONS: Sl. No. Value of R 1 Value of C Theoritical Time Period Practicle MODEL GRAPH:

22 DISCUSSION QUESTIONS: 1. Explain the operation of IC555 in monostable mode. 2. What is the charging time for capacitor in monostable mode? 3. What are the modes of operation of 555timers? 4. Give the comparison between combinational circuits and sequential circuits. 5. What do you mean by present state? 6. Give the applications of 555 timers IC. RESULT: The design of the Monostable multivibrator circuit was done and the input and output waveforms were obtained.

23 5. a. STUDY OF BASIC GATES AIM: To verify the truth table of basic digital ICs of AND, OR, NOT, NAND, NOR, EX-OR gates. REFERENCE BOOKS: 1. Raj Kamal, Digital systems-principles and Design, Pearson education 2nd edition, M. Morris Mano, Digital Design, Pearson Education, 2006 APPARATUS REQUIRED: S.No Name of the Apparatus Range Quantity 1. Digital IC trainer kit 1 2. AND gate IC OR gate IC NOT gate IC NAND gate IC NOR gate IC EX-OR gate IC Connecting wires As required THEORY: AND gate: An AND gate is the physical realization of logical multiplication operation. It is an electronic circuit which generates an output signal of 1 only if all the input signals are 1. OR gate: An OR gate is the physical realization of the logical addition operation. It is an electronic circuit which generates an output signal of 1 if any of the input signal is 1. NOT gate: A NOT gate is the physical realization of the complementation operation. It is an electronic circuit which generates an output signal which is the reverse of the input signal. A NOT gate is also known as an inverter because it inverts the input.

24 NAND gate: A NAND gate is a complemented AND gate. The output of the NAND gate will be 0 if all the input signals are 1 and will be 1 if any one of the input signal is 0. NOR gate: A NOR gate is a complemented OR gate. The output of the OR gate will be 1 if all the inputs are 0 and will be 0 if any one of the input signal is 1. EX-OR gate: An Ex-OR gate performs the following Boolean function, A B = ( A. B ) + ( A. B ) It is similar to OR gate but excludes the combination of both A and B being equal to one. The exclusive OR is a function that give an output signal 0 when the two input signals are equal either 0 or 1. PROCEDURE: 1. Connections are given as per the circuit diagram 2. For all the ICs 7 th pin is grounded and 14 th pin is given +5 V supply. 3. Apply the inputs and verify the truth table for all gates. AND GATE OR GATE LOGIC DIAGRAM: LOGIC DIAGRAM: PIN DIAGRAM OF IC 7408 : PIN DIAGRAM OF IC 7432 :

25 CIRCUIT DIAGRAM: CIRCUIT DIAGRAM: TRUTH TABLE: TRUTH TABLE: Sl. INPUT OUTPUT Sl. INPUT OUTPU T No A B Y = A. B No A B Y = A + B

26 NOR GATE EX-OR GATE LOGIC DIAGRAM: LOGIC DIAGRAM PIN DIAGRAM OF IC 7402 : PIN DIAGRAM OF IC 7486 : CIRCUIT DIAGRAM: CIRCUIT DIAGRAM: TRUTH TABLE: INPUT OUTPUT Sl.No A B Y = (A + B) TRUTH TABLE: INPUT OUTPUT Sl.No A B Y = A B

27 RESULT: The truth tables of all the basic digital ICs were verified.

28 5. b. IMPLEMENTATION OF BOOLEAN FUNCTIONS AIM: To design the logic circuit and verify the truth table of the given Boolean expression, F (A, B, C, D) = Σ (0, 1, 2, 5, 8, 9, 10) REFERENCE BOOKS: 1. Raj Kamal, Digital systems-principles and Design, Pearson education 2nd edition, M. Morris Mano, Digital Design, Pearson Education, 2006 APPARATUS REQUIRED: S.No Name of the Apparatus Range Quantity 1. Digital IC trainer kit 1 2. AND gate IC OR gate IC NOT gate IC NAND gate IC NOR gate IC EX-OR gate IC Connecting wires As required PROCEDURE: 1. Connections are given as per the circuit diagram 2. For all the ICs 7 th pin is grounded and 14 th pin is given +5 V supply. 3. Apply the inputs and verify the truth table for the given Boolean expression.

29 CIRCUIT DIAGRAM:

30 DESIGN: Given, F (A,B,C,D) = Σ (0,1,2,5,8,9,10) TRUTH TABLE: S. No. INPUT OUTPUT A B C D F=D B +C (B +A D) The output function F has four input variables hence a four variable Karnaugh Map is used to obtain a simplified expression for the output as shown, From the K-Map, F = B C + D B + A C D Since we are using only two input logic gates the above expression can be rewritten as, F = C (B + A D) + D B Now the logic circuit for the above equation can be drawn.

31 RESULT: The truth table of the given Boolean expression was verified.

32 6. IMPLEMENTATION OF ADDER AND SUBTRACTOR a. HALF ADDER AND FULL ADDER AIM: To design and verify the truth table of the Half Adder & Full Adder circuits. REFERENCE BOOKS: 1. Raj Kamal, Digital systems-principles and Design, Pearson education 2nd edition, M. Morris Mano, Digital Design, Pearson Education, 2006 APPARATUS REQUIRED: S. No. Name of the Apparatus Range Quantity 1. Digital IC trainer kit 1 2. AND gate IC OR gate IC NOT gate IC EX-OR gate IC Connecting wires As required THEORY: The most basic arithmetic operation is the addition of two binary digits. There are four possible elementary operations, namely, = = = = 102 The first three operations produce a sum of whose length is one digit, but when the last operation is performed the sum is two digits. The higher significant bit of this result is called a carry and lower significant bit is called the sum. HALF ADDER: A combinational circuit which performs the addition of two bits is called half adder. The input variables designate the augend and the addend bit, whereas the output variables produce the sum and carry bits. FULL ADDER: A combinational circuit which performs the arithmetic sum of three input bits is called full adder. The three input bits include two significant bits and a previous carry bit. A full adder circuit can be implemented with two half adders and one OR gate. From the truth table the expression for sum and carry bits of the output can be obtained as, SUM = A B C + A BC + AB C + ABC CARRY = A BC + AB C + ABC +ABC

33 HALF ADDER TRUTH TABLE: Input Output Sl.n o A B S C From the truth table the expression for sum and carry bits of the output can be obtained as, Sum, S = A B Carry, C = A. B CIRCUIT DIAGRAM: TRUTH TABLE: FULL ADDER Sl.n o Input Output A B C Sum Carry

34 Using Karnaugh maps the reduced expression for the output bits can be obtained as, SUM CARRY SUM = A B C + A BC + AB C + ABC = A B C CARRY = AB + AC + BC CIRCUIT DIAGRAM:

35 PROCEDURE: 1. Connections are given as per the circuit diagrams. 2. For all the ICs 7 th pin is grounded and 14 th pin is given +5 V supply. 3. Apply the inputs and verify the truth table for the half adder and full adder circuits. RESULT: The design of the half adder and full adder circuits was done and their truth tables were verified.

36 b. HALF SUBTRACTOR AND FULL SUBTRACTOR AIM: To design and verify the truth table of the Half Subtractor & Full Subtractor circuits. REFERENCE BOOKS: 1. Raj Kamal, Digital systems-principles and Design, Pearson education 2nd edition, M. Morris Mano, Digital Design, Pearson Education, 2006 APPARATUS REQUIRED: S.No Name of the Apparatus Range Quantity 1. Digital IC trainer kit 1 2. AND gate IC OR gate IC NOT gate IC EX-OR gate IC Connecting wires As required THEORY: The arithmetic operation, subtraction of two binary digits has four possible elementary operations, namely, 0-0 = = 1 with 1 borrow 1-0 = = 0 In all operations, each subtrahend bit is subtracted from the minuend bit. In case of the second operation the minuend bit is smaller than the subtrahend bit, hence 1 is borrowed. HALF SUBTRACTOR: A combinational circuit which performs the subtraction of two bits is called half subtractor. The input variables designate the minuend and the subtrahend bit, whereas the output variables produce the difference and borrow bits. FULL SUBTRACTOR: A combinational circuit which performs the subtraction of three input bits is called full subtractor. The three input bits include two significant bits and a previous borrow bit. A full subtractor circuit can be implemented with two half subtractors and one OR gate. From the truth table the expression for difference and borrow bits of the output can be obtained as,

37 Difference, DIFF= A B C + A BC + AB C + ABC Borrow, BORR = A BC + AB C + ABC +ABC TRUTH TABLE: HALF SUBTRACTOR Input Output S.no A B Diff Borr From the truth table the expression for difference and borrow bits of the output can be obtained as, Difference, DIFF = A Borrow, BORR = A. B B CIRCUIT DIAGRAM: 2. FULL SUBTRACTOR TRUTH TABLE: Inpu t Output S.no A B C Diff Borr

38 Using Karnaugh maps the reduced expression for the output bits can be obtained as, DIFFERENCE BORROW DIFF = A B C + A BC + AB C + ABC = A B C CIRCUIT DIAGRAM: BORR = A B + A C + BC

39 PROCEDURE: 1. Connections are given as per the circuit diagrams. 2. For all the ICs 7 th pin is grounded and 14 th pin is given +5 V supply. 3. Apply the inputs and verify the truth table for the half subtractor and full subtractor circuits. DISCUSSION QUESTIONS: 1. What is combinational circuit? 2. What is different between combinational and sequential circuit? 3. What are the gates involved for binary adder? 4. List the properties of Ex-Nor gate? 5. What is expression for sum and carry? RESULT: The design of the half subtractor and full subtractor circuits was done and their truth tables were verified.

40 7. a. CODE CONVERSION AIM: To design, construct and study the performance of different code converters. REFERENCE BOOKS: 1. Raj Kamal, Digital systems-principles and Design, Pearson education 2nd edition, M. Morris Mano, Digital Design, Pearson Education, 2006 APPARATUS REQUIRED: S.No Name of the Apparatus Range Quantity 1. Digital IC trainer kit 1 2. EX-OR gate IC Connecting wires As required THEORY: The availability of large variety of codes for the same discrete elements of information results in the use of different codes by different systems. A conversion circuit must be inserted between the two systems if each uses different codes for same information. Thus, code converter is a circuit that makes the two systems compatible even though each uses different binary code. The bit combination assigned to binary code to gray code. Since each code uses four bits to represent a decimal digit. There are four inputs and four outputs. Gray code is a non-weighted code. The input variable are designated as B3, B2, B1, B0 and the output variables are designated as C3, C2, C1, Co. from the truth table, combinational circuit is designed. The Boolean functions are obtained from K-Map for each output variable. A code converter is a circuit that makes the two systems compatible even though each uses a different binary code. To convert from binary code to Excess-3 code, the input lines must supply the bit combination of elements as specified by code and the output lines generate the corresponding bit combination of code. Each one of the four maps represents one of the four outputs of the circuit as a function of the four input variables. A two-level logic diagram may be obtained directly from the Boolean expressions derived by the maps. These are various other possibilities for a logic diagram that implements this circuit. Now the OR gate whose output is C+D has been used to implement partially each of three outputs.

41 DESIGN: TRUTH TABLE: 4-bit binary 4-bit gray code B3 B2 B1 B0 G3 G2 G1 G From the truth table the expression for the output gray bits are, G3 (B3, B2, B1, B0) = Σ (8, 9, 10, 11, 12, 13, 14, 15) G2 (B3, B2, B1, B0) = Σ (4, 5, 6, 7, 8, 9, 10, 11) G1 (B3, B2, B1, B0) = Σ (2, 3, 4, 5, 9, 10, 11, 12, 13) G0 (B3, B2, B1, B0) = Σ (1, 2, 5, 6, 9, 10, ) Hence obtain the reduced SOP expression using Karnaugh maps as follows, K-Map for G3: K-Map for G2: G3 = B3

42 K-Map for G1: K-Map for G0: CIRCUIT DIAGRAM: 4- BIT BINARY TO GRAY CODE CONVERTER

43 PROCEDURE: 1. Connections are given as per the circuit diagrams. 2. For all the ICs 7 th pin is grounded and 14 th pin is given +5 V supply. 3. Apply the inputs and verify the truth table for the three bit binary to gray code converter. DISCUSSION QUESTIONS: 1. List the procedures to convert gray code into binary? 2. Why weighted code is called as reflective codes? 3. What is a sequential code? 4. What is error deducting code? 5. What is ASCII code? RESULT: The design of the 4-bit Binary to Gray code converter circuit was done and its truth table was verified.

44 7. b. PARITY GENERATORS AND CHECKERS AIM: To implement the odd and even parity checkers using the logic gates and also to generate the odd parity and even parity numbers using the generators. REFERENCE BOOKS: 1. Raj Kamal, Digital systems-principles and Design, Pearson education 2nd edition, M. Morris Mano, Digital Design, Pearson Education, APPARATUS REQUIRED: Sl.No Component Type Quantity 1 Trainer Kit EX-OR IC NOT gate IC Connecting wires - Required THEORY: Parity checking is used for error detection in data transmission. Odd parity checkers: It counts the number of 1 s in the given input and produces a 1 in the output when the number of 1 s is odd. Even parity checker: It counts the number of 1 s in the given input and produces a 1 in the output when the number of 1 s is even. Odd parity generators: It generates an odd parity number. The odd parity checker circuit is used with the inverted output and also the input bits. So when the input is a 4-bit number then the output of the generator circuit will have 5 bits which is an odd parity number. Even parity generator: It generates an even parity number. The even parity checker circuit is used with the inverted output and also the input bits. So when the input is a 4-bit number then the output of the generator circuit will have 5 bits which is an even parity number. PROCEDURE: 1. The circuit is implemented using logic gates. 2. The inputs are given as per the truth table. 3. The corresponding outputs are noted. 4. The theoretical and practical values were verified.

45 TRUTH TABLE: Input Checker output Generator output A B C D odd even odd even DISCUSSION QUESTIONS: 1. What is parity bit? 2. Why parity bit is added to message? 3. What is parity checker? 4. What is odd parity and even parity? 5. What are the gates involved for parity generator? RESULT: The odd and even parity checkers are implemented using the logic gates and the odd parity and even parity numbers are generated using the corresponding generators.

46 8. a. MULTIPLEXERAND DEMULTIPLEXER AIM: To design and verify the truth table of a 4X1 Multiplexer & 1X4 Demultiplexer. REFERENCE BOOKS: 1. Raj Kamal, Digital systems-principles and Design, Pearson education 2nd edition, M. Morris Mano, Digital Design, Pearson Education, 2006 APPARATUS REQUIRED: S.No Name of the Apparatus Range Quantity 1. Digital IC trainer kit 1 2. OR gate IC NOT gate IC AND gate ( three input ) IC Connecting wires As required THEORY: Multiplexing means transmitting a large number of information units over a smaller number of channels or lines. A digital multiplexer is a combinational circuit that selects binary information from one of many input lines and directs it to a single output line. The selection of particular input line is controlled by a set of selection lines. Normally, there are 2 n input lines and n selection lines whose bit combinations determines which input is selected. A multiplexer is called a data selector, since it selects one of many inputs and steers the binary information to the output line. A Strobe is also provided to allow the designer to disable all output data until a specified time. Then, by allowing the STROBE to go low, the proper lead can be selected. This feature is very useful where data might be changing the same time DATA SELECT leads change. It is a very useful Medium Scale Integration (MSI) function and has a multitude of applications. It is used for connecting two or more sources to a single destination among the computer units and itis useful for constructing acommon bus system. A decoder with an enable input can function as a demultiplexer. A Demultiplexer is a circuit that receives information on a single line and transmits this information on one of 2 n possible output lines. The selection of specific output line is controlled by the bit values of n selection lines. The decoder and demultiplexer operations are obtained from the same circuit; a decoder with an enable input is referred to as a decoder / de-multiplexer. The Strobe lead can be used to active or de-active the entire IC, allowing time for the address lines to change the informationis fed to the output. Demultiplexers are useful anytime information from one source must be fed several places.

47 4 X 1 MULTIPLEXER LOGIC SYMBOL: TRUTH TABLE: Selection input Output S.no S1 S2 Y I I I I3 PIN DIAGRAM OF IC 7411: CIRCUIT DIAGRAM:

48 1X4 DEMULTIPLEXER LOGIC SYMBOL: TRUTH TABLE: Input Output S.no S1 S2 Din Y0 Y1 Y2 Y CIRCUIT DIAGRAM:

49 DISCUSSION QUESTIONS: 1. What is multiplexer? 2. What are the applications of multiplexer? 3. What is the difference between multiplexer & demultiplexer? RESULT: The design of the 4x1 Multiplexer and 1x4 Demultiplexer circuits was done and their truth tables were verified.

50 8. b. ENCODER AND DECODER AIM: To study the operation of Encoder and Decoder circuits using logic gates REFERENCE BOOKS: 1. Ramakant A.Gayakward, Op-amps and Linear Integrated Circuits, IV edition, Pearson Education, 2003 / PHI. (2000) 2. D.Roy Choudhary, Sheil B.Jani, Linear Integrated Circuits, II edition, New Age, APPARATUS REQUIRED: S. No Name ofthe Apparatus Range Quantity 1. Digital IC trainer 1 2. NOT Gate IC OR Gate IC AND Gate IC Bread Board 1 6. NOT Gate IC Connecting wires and probes As required THEORY: DECODER In digital electronics, a decoder can take the form of a multiple-input, multiple-output logic circuit that converts coded inputs into coded outputs, where the input and output codes are different e.g. n-to-2n, binary-coded decimal decoders. Decoding is necessary in applications such as data multiplexing, 7 segment display and memory address decoding. The example decoder circuit would be an AND gate because the output of an AND gate is "High" (1) only when all its inputs are "High." Such output is called as "active High output". If instead of AND gate, the NAND gate is connected the output will be "Low" (0) only when all its inputs are "High". Such output is called as "active low output". A slightly more complex decoder would be the n-to-2n type binary decoders. These types of decoders are combinational circuits that convert binary information from 'n' coded inputs to a maximum of 2n unique outputs. In case the 'n' bit coded information has unused bit combinations, the decoder may have less than 2n outputs. 2-to-4 decoder, 3-to-8 decoder or 4-to- 16 decoder are other examples. The input to a decoder is parallel binary number and it is used to detect the presence of a particular binary number at the input. The output indicates presence or absence of specific number at the decoder input.

51 OBSERVATIONS: Inputs Outputs A B Y3 Y2 Y1 Yo B OBSERVATIONS: Input Output D7 D6 D5 D4 D3 D2 D1 D0 A B C

52 ENCODER An encoder is a device, circuit, transducer, software program, algorithm or person that converts information from one format or code to another. The purpose of encoder is standardization, speed, secrecy, security, or saving space by shrinking size. Encoders are combinational logic circuits and they are exactly opposite of decoders. They accept one or more inputs and generate a multibit output code. Encoders perform exactly reverse operation than decoder. An encoder has M input and N output lines. Out of M input lines only one is activated at a time and produces equivalent code on output N lines. If a device output code has fewer bits than the input code has, the device is usually called an encoder PROCEDURE: 1. Make the circuit connections as shown in the figure. 2. Check the corresponding truth table. RESULT: The design of the Encoder and Decoder circuit was done and the input and output were obtained

53 9. REALISATION OF DIFFERENT FLIP-FLOPS USING LOGIC GATES AIM: To verify the characteristic table of RS, D, JK, and T Flip flops. REFERENCE BOOKS: 1. Raj Kamal, Digital systems-principles and Design, Pearson education 2nd edition, M. Morris Mano, Digital Design, Pearson Education, 2006 APPARATUS REQUIRED: S.No Name of the Apparatus Range Quantity 1. Digital IC trainer kit 1 2. NOR gate IC NOT gate IC AND gate ( three input ) IC NAND gate IC Connecting wires As required THEORY: A Flip Flop is a sequential device that samples its input signals and changes its output states only at times determined by clocking signal. Flip Flops may vary in the number of inputs they possess and the manner in which the inputs affect the binary states. RS FLIP FLOP: The clocked RS flip flop consists of NAND gates and the output changes its state with respect to the input on application of clock pulse. When the clock pulse is high the S and R inputs reach the second level NAND gates in their complementary form. The Flip Flop is reset when the R input high and S input is low. The Flip Flop is set when the S input is high and R input is low. When both the inputs are high the output is in an indeterminate state. D FLIP FLOP: To eliminate the undesirable condition of indeterminate state in the SR Flip Flop when both inputs are high at the same time, in the D Flip Flop the inputs are never made equal at the same time. This is obtained by making the two inputs complement of each other.

54 Circuit Diagram: SR FLIP FLOP: S Q CLK R Q JK FLIP FLOP: J Q CLK K Q D FLIP FLOP: D Q CLK Q T FLIP FLOP:

55 T CLK RS Flip -Flop JK Flip -Flop D Flip -Flop Clock Input Present Next Pulse S R State (Q) State(Q+1) X X Clock Input Present Next Pulse J K State (Q) State(Q+1) Clock Input Present Next Pulse D State (Q) State(Q+1)

56 T Flip -Flop Clock Input Present Next Pulse T State (Q) State(Q+1) T JK FLIP FLOP: The indeterminate state in the SR Flip-Flop is defined in the JK Flip Flop. JK inputs behave like S and R inputs to set and reset the Flip Flop. The output Q is NAND with K input and the clock pulse, similarly the output Q is NAND with J input and the Clock pulse. When the clock pulse is zero both the AND gates are disabled and the Q and Q output retain their previous values. When the clock pulse is high, the J and K inputs reach the NOR gates. When both the inputs are high the output toggles continuously. This is called Race around condition and this must be avoided. T FLIP FLOP: This is a modification of JK Flip Flop, obtained by connecting both inputs J and K inputs together. T Flip Flop is also called Toggle Flip Flop. RESULT: The Characteristic tables of RS, D, JK, T flip flops were verified.

57 10. REALISATION OF SHIFT REGISTERS AIM: To implement and verify the truth table of a serial in serial out and parallel in parallel out shift register. REFERENCE BOOKS: 1. Raj Kamal, Digital systems-principles and Design, Pearson education 2nd edition, M. Morris Mano, Digital Design, Pearson Education, 2006 APPARATUS REQUIRED: S. No. Name of the Apparatus Range Quantity 1. Digital IC trainer kit 1 2. D Flip Flop IC AND Gate IC NOT Gate IC OR Gate IC Connecting wires As required THEORY: A register capable of shifting its binary information either to the left or to the right is called a shift register. The logical configuration of a shift register consists of a chain of flip flops connected in cascade with the output of one flip flop connected to the input of the next flip flop. All the flip flops receive a common clock pulse which causes the shift from one stage to the next. The Q output of a D flip flop is connected to the D input of the flip flop to the left. Each clock pulse shifts the contents of the register one bit position to the right. The serial input determines, what goes into the right most flip flop during the shift. The serial output is taken from the output of the left most flip flop prior to the application of a pulse. Although this register shifts its contents to its left, if we turn the page upside down we find that the register shifts its contents to the right. Thus a unidirectional shift register can function either as a shift right or a shift left register.

58 CIRCUIT DIAGRAM: Serial in Serial out Shift Register: D1 Q 4 D 1 7 Q D Q D Q D Q 4 4 cp1 7 4 cp2 7 4 cp3 7 4 cp Clock pulse Parallel in - Serial out Shift Register D Load/ D 3 D2 D 1 shift O/ P D 1 7 Q 1 D 2 7 Q 2 D 3 7 cp cp cp cp Q 3 D 4 7 Q4 Clock pulse

59 PIN DIAGRAM OF IC 7474: TRUTH TABLE: For a serial data input of 1101, Clock Inputs Outputs S.no Pulse D1 D2 D3 D4 Q1 Q2 Q3 Q X X X 1 X X X X X 1 1 X X X X X X X X X X X X 1 0 X X X X X X X X X X For a Parallel data input of 1101, Clock Inputs Outputs S.no Pulse D1 D2 D3 D4 Q

60 PROCEDURE: 1. Connections are given as per the circuit diagrams. 2. Apply the input and verify the truth table of the counter. RESULT: The truth table of a serial in serial out left shiftregister was hence verified.

61 11. a. FREQUENCY MULTIPLICATION USING PHASE LOCKED LOOP AIM To perform the frequency multiplication using phase locked loop (NE 565) and to draw the output wave form REFERENCE BOOKS: 1. Ramakant A.Gayakward, Op-amps and Linear Integrated Circuits, IV edition, Pearson Education, 2003 / PHI. (2000) 2. D.Roy Choudhary, Sheil B.Jani, Linear Integrated Circuits, II edition, New Age, APPARATUS REQUIRED: S. No Name ofthe Apparatus Range Quantity 1. Digital IC trainer 2. PLL NE Decade Counter IC Resistor 2K, 4.7K,10K 3 5. Capacitor 0.001µF, 0.01µF, 10µF 3 6. Signal Generator 1 7. POT 20K 1 8. RPS (0-30V) 1 9. Connecting wires and probes As required THERORY To use PLL as a multiplier make connections as shown in fig the circuit uses and bit binary counter 7490 used as a divide by 5 circuit. Set the lip signal at 1 Vpp square wave at 500 HZ vary the VCO frequency by adjusting the by adjusting the 20k potentiometer till the PLL is locked Measure the output frequency it should be 5 times the input frequency repeat steps for input frequency of 1 KHZ PROCEDURE Fo=1.2/4R1 C1 1. The connections are made as shown in figure 2. we get a output frequency which is in five times of inputs frequency then plot the graph

62 CIRCUIT DIAGRAM: OBSERVATIONS: S. No. Input Frequency Output Frequency MODEL GRAPH: Vin Input Time (ms) Vo Output Time (ms)

63 RESULT Thus the frequency multiplication using phase locked loop was done and the output wave forms were drawn.

64 CIRCUIT DIAGRAM: +15V 10 KΏ 2K Ώ 20 KΏ NE µF The frequency of the output waveforms is approximated by Fo=2(VCC-VC )/ CT RT VCC INTERNAL DIAGRAM:

65 11. b. VOLTAGE CONTROLLED OSCILLATOR USING NE 566 AIM: To obtain square wave and triangular wave using voltage controlled oscillator REFERENCE BOOKS: 1. Ramakant A.Gayakward, Op-amps and Linear Integrated Circuits, IV edition, Pearson Education, 2003 / PHI. (2000) 2. D.Roy Choudhary, Sheil B.Jani, Linear Integrated Circuits, II edition, New Age, APPARATUS REQUIRED: THEORY: S. No Name ofthe Apparatus Range Quantity 1. Digital IC trainer 1 2. VCO NE Resistor 2K, 10K 2 5. Capacitor 0.01µF 1 7. POT 20K 1 9. Connecting wires and probes As required In most cases, the frequency of an oscillator is determined by the time constant RC. However, in cases or applications such as FM, tone generators, and frequency-shift keying (FSK), the frequency is to be controlled by means of an input voltage, called the control voltage. This can be achieved in a voltage-controlled oscillator (VCO). A VCO is a circuit that provides an oscillating output signal (typically of square-wave or triangular waveform) whose frequency can be adjusted over a range by a dc voltage. An example of a VCO is the 566 IC unit, that provides simultaneously the square-wave and triangular-wave outputs as a function of input voltage. The frequency of oscillation is set by an external resistor R1 and a capacitor C1 and the voltage Vc applied to the control terminals. Figure shows that the 566 IC unit contains current sources to charge and discharge an external capacitor Cv at a rate set by an external resistor R1 and the modulating dc input voltage. A Schmitt trigger circuit is employed to switch the current sources between charging and discharging the capacitor, and the triangular voltage produced across the capacitor and square-wave from the Schmitt trigger are provided as outputs through buffer amplifiers. Both the output waveforms are buffered so that the output impedance of each is 50 f2. The typical magnitude of the triangular wave and the square wave are 2.4 V peak.to-peak and 5.4 Vpeak.to.peak.

66 PROCEDURE: 1. Connections are made as shown in diagram. 2. The square and triangular wave is obtained in terminal 3&4 respectively. 3. The Modulating Input at Pin 5 Is Changed by varying rheostat the voltage at pin 5 and corresponding frequency at output are noted and characteristics were drawn RESULT: Thus the voltage controlled oscillator using NE566 was done and the output was verified.

Dhanalakshmi College of Engineering

Dhanalakshmi College of Engineering Dhanalakshmi College of Engineering Manimangalam, Tambaram, Chennai 601 301 DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EE6311 LINEAR AND DIGITAL INTEGRATED CIRCUITS LABORATORY III SEMESTER -

More information

DEPARTMENT OF ELECTRICAL & ELECTRONICS ENGINEERING

DEPARTMENT OF ELECTRICAL & ELECTRONICS ENGINEERING DEPARTMENT OF ELECTRICAL & ELECTRONICS ENGINEERING (Regulation 2013) EE 6311 LINEAR AND DIGITAL INTEGRATED CIRCUITS LAB MANUAL 1 SYLLABUS OBJECTIVES: Working Practice in simulators / CAD Tools / Experiment

More information

EXPERIMENT NO 1 TRUTH TABLE (1)

EXPERIMENT NO 1 TRUTH TABLE (1) EPERIMENT NO AIM: To verify the Demorgan s theorems. APPARATUS REQUIRED: THEORY: Digital logic trainer and Patch cords. The digital signals are discrete in nature and can only assume one of the two values

More information

LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM. 2012/ODD/III/ECE/DE/LM Page No. 1

LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM. 2012/ODD/III/ECE/DE/LM Page No. 1 LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM K-Map for SUM: K-Map for CARRY: SUM = A B + AB CARRY = AB 22/ODD/III/ECE/DE/LM Page No. EXPT NO: DATE : DESIGN OF ADDER AND SUBTRACTOR AIM: To design

More information

B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics

B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics Sr. No. Date TITLE To From Marks Sign 1 To verify the application of op-amp as an Inverting Amplifier 2 To

More information

LIST OF EXPERIMENTS. KCTCET/ /Odd/3rd/ETE/CSE/LM

LIST OF EXPERIMENTS. KCTCET/ /Odd/3rd/ETE/CSE/LM LIST OF EXPERIMENTS. Study of logic gates. 2. Design and implementation of adders and subtractors using logic gates. 3. Design and implementation of code converters using logic gates. 4. Design and implementation

More information

SRV ENGINEERING COLLEGE SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI

SRV ENGINEERING COLLEGE SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI 6489 (Approved By AICTE,Newdelhi Affiliated To ANNA UNIVERSITY::Chennai) CS 62 DIGITAL ELECTRONICS LAB (REGULATION-23) LAB MANUAL DEPARTMENT OF

More information

CHADALAWADA RAMANAMMA ENGINEERING COLLEGE (AUTONOMOUS) Chadalawada Nagar, Renigunta Road, Tirupati

CHADALAWADA RAMANAMMA ENGINEERING COLLEGE (AUTONOMOUS) Chadalawada Nagar, Renigunta Road, Tirupati IC APPLICATIONS LABORATORY MANUAL Subject Code : 15A04507 Regulations : R15 Class : V Semester (ECE) CHADALAWADA RAMANAMMA ENGINEERING COLLEGE (AUTONOMOUS) Chadalawada Nagar, Renigunta Road, Tirupati 517

More information

INTEGRATED CIRCUITS AND APPLICATIONS LAB MANUAL

INTEGRATED CIRCUITS AND APPLICATIONS LAB MANUAL INTEGRATED CIRCUITS AND APPLICATIONS LAB MANUAL V SEMESTER Department of Electronics and communication Engineering Government Engineering College, Dahod-389151 http://www.gecdahod.ac.in/ L A B M A N U

More information

Department of Electronics and Communication Engineering

Department of Electronics and Communication Engineering Department of Electronics and Communication Engineering Sub Code/Name: BEC3L2- DIGITAL ELECTRONICS LAB Name Reg No Branch Year & Semester : : : : LIST OF EXPERIMENTS Sl No Experiments Page No Study of

More information

For input: Peak to peak amplitude of the input = volts. Time period for 1 full cycle = sec

For input: Peak to peak amplitude of the input = volts. Time period for 1 full cycle = sec Inverting amplifier: [Closed Loop Configuration] Design: A CL = V o /V in = - R f / R in ; Assume R in = ; Gain = ; Circuit Diagram: RF +10V F.G ~ + Rin 2 3 7 IC741 + 4 6 v0-10v CRO Model Graph Inverting

More information

Laboratory Manual CS (P) Digital Systems Lab

Laboratory Manual CS (P) Digital Systems Lab Laboratory Manual CS 09 408 (P) Digital Systems Lab INDEX CYCLE I A. Familiarization of digital ICs and digital IC trainer kit 1 Verification of truth tables B. Study of combinational circuits 2. Verification

More information

GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION JULY-2012 SCHEME OF VALUATION

GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION JULY-2012 SCHEME OF VALUATION GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION JULY-0 SCHEME OF VALUATION Subject Code: 40 Subject: PART - A 0. Which region of the transistor

More information

R & D Electronics DIGITAL IC TRAINER. Model : DE-150. Feature: Object: Specification:

R & D Electronics DIGITAL IC TRAINER. Model : DE-150. Feature: Object: Specification: DIGITAL IC TRAINER Model : DE-150 Object: To Study the Operation of Digital Logic ICs TTL and CMOS. To Study the All Gates, Flip-Flops, Counters etc. To Study the both the basic and advance digital electronics

More information

Dev Bhoomi Institute Of Technology Department of Electronics and Communication Engineering PRACTICAL INSTRUCTION SHEET REV. NO. : REV.

Dev Bhoomi Institute Of Technology Department of Electronics and Communication Engineering PRACTICAL INSTRUCTION SHEET REV. NO. : REV. Dev Bhoomi Institute Of Technology Department of Electronics and Communication Engineering PRACTICAL INSTRUCTION SHEET LABORATORY MANUAL EXPERIMENT NO. ISSUE NO. : ISSUE DATE: July 200 REV. NO. : REV.

More information

GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION MARCH-2013 SCHEME OF VALUATION

GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION MARCH-2013 SCHEME OF VALUATION GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION MARCH-03 SCHEME OF VALUATION Subject Code: 0 Subject: PART - A 0. What does the arrow mark indicate

More information

15EI205L-ANALOG AND DIGITAL INTEGRATED CIRCUITS LABORATORY MANUAL

15EI205L-ANALOG AND DIGITAL INTEGRATED CIRCUITS LABORATORY MANUAL 15EI205L-ANALOG AND DIGITAL INTEGRATED CIRCUITS LABORATORY MANUAL Department of Electronics and Instrumentation Engineering Faculty of Engineering and Technology Department of Electronics and Instrumentation

More information

LINEAR IC APPLICATIONS

LINEAR IC APPLICATIONS 1 B.Tech III Year I Semester (R09) Regular & Supplementary Examinations December/January 2013/14 1 (a) Why is R e in an emitter-coupled differential amplifier replaced by a constant current source? (b)

More information

GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION MARCH-2012 SCHEME OF VALUATION

GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION MARCH-2012 SCHEME OF VALUATION GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION MARCH-0 SCHEME OF VALUATION Subject Code: 0 Subject: Qn. PART - A 0. Which is the largest of three

More information

HIGH LOW Astable multivibrators HIGH LOW 1:1

HIGH LOW Astable multivibrators HIGH LOW 1:1 1. Multivibrators A multivibrator circuit oscillates between a HIGH state and a LOW state producing a continuous output. Astable multivibrators generally have an even 50% duty cycle, that is that 50% of

More information

LINEAR INTEGRATED CIRCUITS APPLICATIONS LABORATORY OBSERVATION

LINEAR INTEGRATED CIRCUITS APPLICATIONS LABORATORY OBSERVATION LENDI INSTITUTE OF ENGINEERING AND TECHNOLOGY (Approved by A.I.C.T.E & Affiliated to JNTU, Kakinada) Jonnada (Village), Denkada (Mandal), Vizianagaram Dist 535005 Phone No. 08922-241111, 241112 E-Mail:

More information

1. LINEAR WAVE SHAPING

1. LINEAR WAVE SHAPING Aim: 1. LINEAR WAVE SHAPING i) To design a low pass RC circuit for the given cutoff frequency and obtain its frequency response. ii) To observe the response of the designed low pass RC circuit for the

More information

Question Paper Code: 21398

Question Paper Code: 21398 Reg. No. : Question Paper Code: 21398 B.E./B.Tech. DEGREE EXAMINATION, MAY/JUNE 2013 Fourth Semester Electrical and Electronics Engineering EE2254 LINEAR INTEGRATED CIRCUITS AND APPLICATIONS (Regulation

More information

Government Polytechnic Muzaffarpur Name of the Lab: Applied Electronics Lab

Government Polytechnic Muzaffarpur Name of the Lab: Applied Electronics Lab Government Polytechnic Muzaffarpur Name of the Lab: Applied Electronics Lab Subject Code: 1620408 Experiment-1 Aim: To obtain the characteristics of field effect transistor (FET). Theory: The Field Effect

More information

LIC & COMMUNICATION LAB MANUAL

LIC & COMMUNICATION LAB MANUAL LIC & Communication Lab Manual LIC & COMMUNICATION LAB MANUAL FOR V SEMESTER B.E (E& ( E&C) (For private circulation only) NAME: DEPARTMENT OF ELECTRONICS & COMMUNICATION SRI SIDDHARTHA INSTITUTE OF TECHNOLOGY

More information

CHAPTER 6 DIGITAL INSTRUMENTS

CHAPTER 6 DIGITAL INSTRUMENTS CHAPTER 6 DIGITAL INSTRUMENTS 1 LECTURE CONTENTS 6.1 Logic Gates 6.2 Digital Instruments 6.3 Analog to Digital Converter 6.4 Electronic Counter 6.6 Digital Multimeters 2 6.1 Logic Gates 3 AND Gate The

More information

UNIT-IV Combinational Logic

UNIT-IV Combinational Logic UNIT-IV Combinational Logic Introduction: The signals are usually represented by discrete bands of analog levels in digital electronic circuits or digital electronics instead of continuous ranges represented

More information

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam MIDTERM EXAMINATION 2011 (October-November) Q-21 Draw function table of a half adder circuit? (2) Answer: - Page

More information

Electronics. Digital Electronics

Electronics. Digital Electronics Electronics Digital Electronics Introduction Unlike a linear, or analogue circuit which contains signals that are constantly changing from one value to another, such as amplitude or frequency, digital

More information

Winter 14 EXAMINATION Subject Code: Model Answer P a g e 1/28

Winter 14 EXAMINATION Subject Code: Model Answer P a g e 1/28 Subject Code: 17333 Model Answer P a g e 1/28 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

FIRSTRANKER. 1. (a) What are the advantages of the adjustable voltage regulators over the fixed

FIRSTRANKER. 1. (a) What are the advantages of the adjustable voltage regulators over the fixed Code No: 07A51102 R07 Set No. 2 1. (a) What are the advantages of the adjustable voltage regulators over the fixed voltage regulators. (b) Differentiate betweenan integrator and a differentiator. [8+8]

More information

ST.ANNE S COLLEGE OF ENGINEERING AND TECHNOLOGY ANGUCHETTYPALAYAM, PANRUTI Department of Electronics & Communication Engineering OBSERVATION

ST.ANNE S COLLEGE OF ENGINEERING AND TECHNOLOGY ANGUCHETTYPALAYAM, PANRUTI Department of Electronics & Communication Engineering OBSERVATION ST.ANNE S COLLEGE OF ENGINEERING AND TECHNOLOGY ANGUCHETTYPALAYAM, PANRUTI 67 Department of Electronics & Communication Engineering OBSERVATION EC836 ANALOG AND DIGITAL CIRCUITS LABORATORY STUDENT NAME

More information

DEPARTMENT OF ELECTRICAL ENGINEERING LAB WORK EE301 ELECTRONIC CIRCUITS

DEPARTMENT OF ELECTRICAL ENGINEERING LAB WORK EE301 ELECTRONIC CIRCUITS DEPARTMENT OF ELECTRICAL ENGINEERING LAB WORK EE301 ELECTRONIC CIRCUITS EXPERIMENT : 4 TITLE : 555 TIMERS OUTCOME : Upon completion of this unit, the student should be able to: 1. gain experience with

More information

ASTABLE MULTIVIBRATOR

ASTABLE MULTIVIBRATOR 555 TIMER ASTABLE MULTIIBRATOR MONOSTABLE MULTIIBRATOR 555 TIMER PHYSICS (LAB MANUAL) PHYSICS (LAB MANUAL) 555 TIMER Introduction The 555 timer is an integrated circuit (chip) implementing a variety of

More information

Transistor Design & Analysis (Inverter)

Transistor Design & Analysis (Inverter) Experiment No. 1: DIGITAL ELECTRONIC CIRCUIT Transistor Design & Analysis (Inverter) APPARATUS: Transistor Resistors Connecting Wires Bread Board Dc Power Supply THEORY: Digital electronics circuits operate

More information

Summer 2015 Examination

Summer 2015 Examination Summer 2015 Examination Subject Code: 17445 Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme.

More information

To design/build monostable multivibrators using 555 IC and verify their operation using measurements by observing waveforms.

To design/build monostable multivibrators using 555 IC and verify their operation using measurements by observing waveforms. AIM: SUBJECT: ANALOG ELECTRONICS (2130902) EXPERIMENT NO. 09 DATE : TITLE: TO DESIGN/BUILD MONOSTABLE MULTIVIBRATORS USING 555 IC AND VERIFY THEIR OPERATION USING MEASUREMENTS BY OBSERVING WAVEFORMS. DOC.

More information

Fan in: The number of inputs of a logic gate can handle.

Fan in: The number of inputs of a logic gate can handle. Subject Code: 17333 Model Answer Page 1/ 29 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

Speed Control of DC Motor Using Phase-Locked Loop

Speed Control of DC Motor Using Phase-Locked Loop Speed Control of DC Motor Using Phase-Locked Loop Authors Shaunak Vyas Darshit Shah Affiliations B.Tech. Electrical, Nirma University, Ahmedabad E-mail shaunak_vyas1@yahoo.co.in darshit_shah1@yahoo.co.in

More information

INTEGRATED CIRCULTS AND APPLICATIONS

INTEGRATED CIRCULTS AND APPLICATIONS INTEGRATED CIRCULTS AND APPLICATIONS LAB MANUAL Subject Code : AEC106 Regulations : R16 Autonomous Class : V Semester (EEE) Prepared by Mrs. C DEEPTHI Assistant Professor Mr. MOHD.KHADIR Assistant Professor

More information

St.MARTIN S ENGINEERING COLLEGE

St.MARTIN S ENGINEERING COLLEGE St.MARTIN S ENGINEERING COLLEGE Dhulapally, Kompally, Secunderabad-500014. Branch Year&Sem Subject Name : Electrical and Electronics Engineering : III B. Tech I Semester : IC Applications OBJECTIVES QUESTION

More information

EG572EX: ELECTRONIC CIRCUITS I 555 TIMERS

EG572EX: ELECTRONIC CIRCUITS I 555 TIMERS EG572EX: ELECTRONIC CIRCUITS I 555 TIMERS Prepared By: Ajay Kumar Kadel, Kathmandu Engineering College 1) PIN DESCRIPTIONS Fig.1 555 timer Pin Configurations Pin 1 (Ground):- All voltages are measured

More information

RAJALAKSHMI ENGINEERING COLLEGE THANDALAM 602 105. DEPARTMENT OF ECE LAB MANUAL CLASS : II YEAR ECE SEMESTER : IV SEM (DEC 2009) SUBJECT CODE : EC2258 SUBJECT : LINEAR INTEGRATED CIRCUITS LAB PREPARED

More information

AURORA S ENGINEERING COLLEGE BHONGIR, NALGONDA DIST

AURORA S ENGINEERING COLLEGE BHONGIR, NALGONDA DIST AURORA S ENGINEERING COLLEGE BHONGIR, NALGONDA DIST. 508116. Lab manual of IC APPLICATIONS LAB 3 rd Year 1 st Sem. ECE 2014-15 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING 1 PREFACE Integrated

More information

Number system: the system used to count discrete units is called number. Decimal system: the number system that contains 10 distinguished

Number system: the system used to count discrete units is called number. Decimal system: the number system that contains 10 distinguished Number system: the system used to count discrete units is called number system Decimal system: the number system that contains 10 distinguished symbols that is 0-9 or digits is called decimal system. As

More information

COMBINATIONAL CIRCUIT

COMBINATIONAL CIRCUIT Combinational circuit is a circuit in which we combine the different gates in the circuit, for example encoder, decoder, multiplexer and demultiplexer. Some of the characteristics of combinational circuits

More information

Spec. Instructor: Center

Spec. Instructor: Center PDHonline Course E379 (5 PDH) Digital Logic Circuits Volume III Spec ial Logic Circuits Instructor: Lee Layton, P.E 2012 PDH Online PDH Center 5272 Meadow Estatess Drive Fairfax, VA 22030-6658 Phone &

More information

The steeper the phase shift as a function of frequency φ(ω) the more stable the frequency of oscillation

The steeper the phase shift as a function of frequency φ(ω) the more stable the frequency of oscillation It should be noted that the frequency of oscillation ω o is determined by the phase characteristics of the feedback loop. the loop oscillates at the frequency for which the phase is zero The steeper the

More information

COURSE DESCRIPTION (ELECTRICAL ENGINEERING LAB III (ECEg 2114)) COURSE OBJECTIVE: ASSESSMENT SCHEME AND TEACHING STRATEGY

COURSE DESCRIPTION (ELECTRICAL ENGINEERING LAB III (ECEg 2114)) COURSE OBJECTIVE: ASSESSMENT SCHEME AND TEACHING STRATEGY COURSE DESCRIPTION (ELECTRICAL ENGINEERING LAB III (ECEg 2114)) This course introduces the student to the Amplifier; Differential amplifier; Operational amplifier; Oscillators; Basic digital circuits Schmitt

More information

Electronic Instrumentation

Electronic Instrumentation 5V 1 1 1 2 9 10 7 CL CLK LD TE PE CO 15 + 6 5 4 3 P4 P3 P2 P1 Q4 Q3 Q2 Q1 11 12 13 14 2-14161 Electronic Instrumentation Experiment 7 Digital Logic Devices and the 555 Timer Part A: Basic Logic Gates Part

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) MODEL ANSWER

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) MODEL ANSWER Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

CONTENTS Sl. No. Experiment Page No

CONTENTS Sl. No. Experiment Page No CONTENTS Sl. No. Experiment Page No 1a Given a 4-variable logic expression, simplify it using Entered Variable Map and realize the simplified logic expression using 8:1 multiplexer IC. 2a 3a 4a 5a 6a 1b

More information

Department of Electronics & Telecommunication Engg. LAB MANUAL. B.Tech V Semester [ ] (Branch: ETE)

Department of Electronics & Telecommunication Engg. LAB MANUAL. B.Tech V Semester [ ] (Branch: ETE) Department of Electronics & Telecommunication Engg. LAB MANUAL SUBJECT:-DIGITAL COMMUNICATION SYSTEM [BTEC-501] B.Tech V Semester [2013-14] (Branch: ETE) KCT COLLEGE OF ENGG & TECH., FATEHGARH PUNJAB TECHNICAL

More information

MODEL ANSWER SUMMER 17 EXAMINATION Subject Title: Linear Integrated Circuit Subject Code:

MODEL ANSWER SUMMER 17 EXAMINATION Subject Title: Linear Integrated Circuit Subject Code: MODEL ANSWER SUMMER 17 EXAMINATION Subject Title: Linear Integrated Circuit Subject Code: Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as

More information

Experiment EB2: IC Multivibrator Circuits

Experiment EB2: IC Multivibrator Circuits EEE1026 Electronics II: Experiment Instruction Learning Outcomes Experiment EB2: IC Multivibrator Circuits LO1: Explain the principles and operation of amplifiers and switching circuits LO2: Analyze high

More information

PROPOSED SCHEME OF COURSE WORK

PROPOSED SCHEME OF COURSE WORK PROPOSED SCHEME OF COURSE WORK Course Details: Course Title : LINEAR AND DIGITAL IC APPLICATIONS Course Code : 13EC1146 L T P C : 4 0 0 3 Program: : B.Tech. Specialization: : Electrical and Electronics

More information

Assignment 11. 1) Using the LM741 op-amp IC a circuit is designed as shown, then find the output waveform for an input of 5kHz

Assignment 11. 1) Using the LM741 op-amp IC a circuit is designed as shown, then find the output waveform for an input of 5kHz Assignment 11 1) Using the LM741 op-amp IC a circuit is designed as shown, then find the output waveform for an input of 5kHz Vo = 1 x R1Cf 0 Vin t dt, voltage output for the op amp integrator 0.1 m 1

More information

Linear & Digital IC Applications (BRIDGE COURSE)

Linear & Digital IC Applications (BRIDGE COURSE) G. PULLAIAH COLLEGE OF ENGINEERING AND TECHNOLOGY Accredited by NAAC with A Grade of UGC, Approved by AICTE, New Delhi Permanently Affiliated to JNTUA, Ananthapuramu (Recognized by UGC under 2(f) and 12(B)

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

Difference between BJTs and FETs. Junction Field Effect Transistors (JFET)

Difference between BJTs and FETs. Junction Field Effect Transistors (JFET) Difference between BJTs and FETs Transistors can be categorized according to their structure, and two of the more commonly known transistor structures, are the BJT and FET. The comparison between BJTs

More information

Total No. of Questions : 40 ] [ Total No. of Printed Pages : 7. March, Time : 3 Hours 15 Minutes ] [ Max. Marks : 90

Total No. of Questions : 40 ] [ Total No. of Printed Pages : 7. March, Time : 3 Hours 15 Minutes ] [ Max. Marks : 90 Code No. 40 Total No. of Questions : 40 ] [ Total No. of Printed Pages : 7 March, 2009 ELECTRONICS Time : 3 Hours 15 Minutes ] [ Max. Marks : 90 Note : i) The question paper has four Parts A, B, C & D.

More information

Digital Electronics 8. Multiplexer & Demultiplexer

Digital Electronics 8. Multiplexer & Demultiplexer 1 Module -8 Multiplexers and Demultiplexers 1 Introduction 2 Principles of Multiplexing and Demultiplexing 3 Multiplexer 3.1 Types of multiplexer 3.2 A 2 to 1 multiplexer 3.3 A 4 to 1 multiplexer 3.4 Multiplex

More information

LAB MANUAL EC6412- LINEAR INTEGRATED CIRCUIT LABORATORY. Dharmapuri Regulation : 2013 Branch : B.E. ECE

LAB MANUAL EC6412- LINEAR INTEGRATED CIRCUIT LABORATORY. Dharmapuri Regulation : 2013 Branch : B.E. ECE EC6412 LINEAR INTEGRATED CIRCUITS LABORATORY 1 Dharmapuri 636 703 LAB MANUAL Regulation : 2013 Branch Year & Semester : B.E. ECE : II Year / IV Semester EC6412- LINEAR INTEGRATED CIRCUIT LABORATORY EC6412

More information

Objective Questions. (a) Light (b) Temperature (c) Sound (d) all of these

Objective Questions. (a) Light (b) Temperature (c) Sound (d) all of these Objective Questions Module 1: Introduction 1. Which of the following is an analog quantity? (a) Light (b) Temperature (c) Sound (d) all of these 2. Which of the following is a digital quantity? (a) Electrical

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad 1 P a g e INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500 043 ELECTRONICS AND COMMUNICATION ENGINEERING TUTORIAL QUESTION BANK Name : INTEGRATED CIRCUITS APPLICATIONS Code

More information

R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad. ELECTRONIC PRINCIPLES AND APPLICATIONS

R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad. ELECTRONIC PRINCIPLES AND APPLICATIONS R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad. DEPARTMENT OF PHYSICS QUESTION BANK FOR SEMESTER V PHYSICS PAPER VI (A) ELECTRONIC PRINCIPLES AND APPLICATIONS UNIT I: SEMICONDUCTOR DEVICES

More information

Function Generator Using Op Amp Ic 741 Theory

Function Generator Using Op Amp Ic 741 Theory Function Generator Using Op Amp Ic 741 Theory Note: Op-Amps ua741, LM 301, LM311, LM 324 & AD 633 may be used To design an Inverting Amplifier for the given specifications using Op-Amp IC 741. THEORY:

More information

Department of Electronics & Communication Engineering LAB MANUAL SUBJECT: DIGITAL COMMUNICATION LABORATORY [ECE324] (Branch: ECE)

Department of Electronics & Communication Engineering LAB MANUAL SUBJECT: DIGITAL COMMUNICATION LABORATORY [ECE324] (Branch: ECE) Department of Electronics & Communication Engineering LAB MANUAL SUBJECT: DIGITAL COMMUNICATION LABORATORY [ECE324] B.Tech Year 3 rd, Semester - 5 th (Branch: ECE) Version: 01 st August 2018 The LNM Institute

More information

ELECTRONIC CIRCUITS. Time: Three Hours Maximum Marks: 100

ELECTRONIC CIRCUITS. Time: Three Hours Maximum Marks: 100 EC 40 MODEL TEST PAPER - 1 ELECTRONIC CIRCUITS Time: Three Hours Maximum Marks: 100 Answer five questions, taking ANY TWO from Group A, any two from Group B and all from Group C. All parts of a question

More information

AC LAB ECE-D ecestudy.wordpress.com

AC LAB ECE-D ecestudy.wordpress.com PART B EXPERIMENT NO: 1 AIM: PULSE AMPLITUDE MODULATION (PAM) & DEMODULATION DATE: To study Pulse Amplitude modulation and demodulation process with relevant waveforms. APPARATUS: 1. Pulse amplitude modulation

More information

Lab 2 Revisited Exercise

Lab 2 Revisited Exercise Lab 2 Revisited Exercise +15V 100k 1K 2N2222 Wire up led display Note the ground leads LED orientation 6.091 IAP 2008 Lecture 3 1 Comparator, Oscillator +5 +15 1k 2 V- 7 6 Vin 3 V+ 4 V o Notice that power

More information

Lab 7: DELTA AND SIGMA-DELTA A/D CONVERTERS

Lab 7: DELTA AND SIGMA-DELTA A/D CONVERTERS ANALOG & TELECOMMUNICATION ELECTRONICS LABORATORY EXERCISE 6 Lab 7: DELTA AND SIGMA-DELTA A/D CONVERTERS Goal The goals of this experiment are: - Verify the operation of a differential ADC; - Find the

More information

Analog Electronic Circuits Lab-manual

Analog Electronic Circuits Lab-manual 2014 Analog Electronic Circuits Lab-manual Prof. Dr Tahir Izhar University of Engineering & Technology LAHORE 1/09/2014 Contents Experiment-1:...4 Learning to use the multimeter for checking and indentifying

More information

Module 4: Design and Analysis of Combinational Circuits 1. Module-4. Design and Analysis of Combinational Circuits

Module 4: Design and Analysis of Combinational Circuits 1. Module-4. Design and Analysis of Combinational Circuits 1 Module-4 Design and Analysis of Combinational Circuits 4.1 Motivation: This topic develops the fundamental understanding and design of adder, substractor, code converter multiplexer, demultiplexer etc

More information

Lesson number one. Operational Amplifier Basics

Lesson number one. Operational Amplifier Basics What About Lesson number one Operational Amplifier Basics As well as resistors and capacitors, Operational Amplifiers, or Op-amps as they are more commonly called, are one of the basic building blocks

More information

Practical Workbook Logic Design & Switching Theory

Practical Workbook Logic Design & Switching Theory Practical Workbook Logic Design & Switching Theory Name : Year : Batch : Roll No : Department: Second Edition Fall 2017-18 Dept. of Computer & Information Systems Engineering NED University of Engineering

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) SUMMER-16 EXAMINATION Model Answer

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) SUMMER-16 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

COMPARATOR CHARACTERISTICS The important characteristics of a comparator are these: 1. Speed of operation 2. Accuracy 3. Compatibility of output

COMPARATOR CHARACTERISTICS The important characteristics of a comparator are these: 1. Speed of operation 2. Accuracy 3. Compatibility of output SCHMITT TRIGGER (regenerative comparator) Schmitt trigger is an inverting comparator with positive feedback. It converts an irregular-shaped waveform to a square wave or pulse, also called as squaring

More information

About the Tutorial. Audience. Prerequisites. Copyright & Disclaimer. Linear Integrated Circuits Applications

About the Tutorial. Audience. Prerequisites. Copyright & Disclaimer. Linear Integrated Circuits Applications About the Tutorial Linear Integrated Circuits are solid state analog devices that can operate over a continuous range of input signals. Theoretically, they are characterized by an infinite number of operating

More information

Scheme I Sample Question Paper

Scheme I Sample Question Paper Sample Question Paper Marks : 70 Time: 3 Hrs. Q.1) Attempt any FIVE of the following. 10 Marks a) Classify configuration of differential amplifier. b) Draw equivalent circuit of an OPAMP c) Suggest and

More information

EXPERIMENT 2.2 NON-LINEAR OP-AMP CIRCUITS

EXPERIMENT 2.2 NON-LINEAR OP-AMP CIRCUITS 2.16 EXPERIMENT 2.2 NONLINEAR OPAMP CIRCUITS 2.2.1 OBJECTIVE a. To study the operation of 741 opamp as comparator. b. To study the operation of active diode circuits (precisions circuits) using opamps,

More information

Preface... iii. Chapter 1: Diodes and Circuits... 1

Preface... iii. Chapter 1: Diodes and Circuits... 1 Table of Contents Preface... iii Chapter 1: Diodes and Circuits... 1 1.1 Introduction... 1 1.2 Structure of an Atom... 2 1.3 Classification of Solid Materials on the Basis of Conductivity... 2 1.4 Atomic

More information

LESSON PLAN. SUBJECT: LINEAR IC S AND APPLICATION NO OF HOURS: 52 FACULTY NAME: Mr. Lokesh.L, Hema. B DEPT: ECE. Portions to be covered

LESSON PLAN. SUBJECT: LINEAR IC S AND APPLICATION NO OF HOURS: 52 FACULTY NAME: Mr. Lokesh.L, Hema. B DEPT: ECE. Portions to be covered LESSON PLAN SUBJECT: LINEAR IC S AND APPLICATION SUB CODE: 15EC46 NO OF HOURS: 52 FACULTY NAME: Mr. Lokesh.L, Hema. B DEPT: ECE Class# Chapter title/reference literature Portions to be covered MODULE I

More information

Multivibrators. Department of Electrical & Electronics Engineering, Amrita School of Engineering

Multivibrators. Department of Electrical & Electronics Engineering, Amrita School of Engineering Multivibrators Multivibrators Multivibrator is an electronic circuit that generates square, rectangular, pulse waveforms. Also called as nonlinear oscillators or function generators. Multivibrator is basically

More information

BINARY AMPLITUDE SHIFT KEYING

BINARY AMPLITUDE SHIFT KEYING BINARY AMPLITUDE SHIFT KEYING AIM: To set up a circuit to generate Binary Amplitude Shift keying and to plot the output waveforms. COMPONENTS AND EQUIPMENTS REQUIRED: IC CD4016, IC 7474, Resistors, Zener

More information

DIGITAL COMMUNICATIONS LAB

DIGITAL COMMUNICATIONS LAB DIGITAL COMMUNICATIONS LAB List of Experiments: 1. PCM Generation and Detection. 2. Differential Pulse Code modulation. 3. Delta modulation. 4. Time Division Multiplexing of 2band Limited Signals. 5. Frequency

More information

SUMMER 13 EXAMINATION Subject Code: Model Answer Page No: / N

SUMMER 13 EXAMINATION Subject Code: Model Answer Page No: / N Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

1 2 B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER 2010 Fourth Semester Electrical and Electronics Engineering EE 2254 LINEAR INTEGRATED CIRCUITS AND APPLICATIONS (Common to Instrumentation and Control

More information

IES Digital Mock Test

IES Digital Mock Test . The circuit given below work as IES Digital Mock Test - 4 Logic A B C x y z (a) Binary to Gray code converter (c) Binary to ECESS- converter (b) Gray code to Binary converter (d) ECESS- To Gray code

More information

LM13600 Dual Operational Transconductance Amplifiers with Linearizing Diodes and Buffers

LM13600 Dual Operational Transconductance Amplifiers with Linearizing Diodes and Buffers LM13600 Dual Operational Transconductance Amplifiers with Linearizing Diodes and Buffers General Description The LM13600 series consists of two current controlled transconductance amplifiers each with

More information

R (a) Explain characteristics and limitations of op-amp comparators. (b) Explain operation of free running Multivibrator using op-amp.

R (a) Explain characteristics and limitations of op-amp comparators. (b) Explain operation of free running Multivibrator using op-amp. Set No: 1 1. (a) Draw the equivalent circuits of emitter coupled differential amplifier from which calculate Ad. (b) Draw the block diagram of four stage cascaded amplifier. Explain the function of each

More information

1) Consider the circuit shown in figure below. Compute the output waveform for an input of 5kHz

1) Consider the circuit shown in figure below. Compute the output waveform for an input of 5kHz ) Consider the circuit shown in figure below. Compute the output waveform for an input of 5kHz Solution: a) Input is of constant amplitude of 2 V from 0 to 0. ms and 2 V from 0. ms to 0.2 ms. The output

More information

). The THRESHOLD works in exactly the opposite way; whenever the THRESHOLD input is above 2/3V CC

). The THRESHOLD works in exactly the opposite way; whenever the THRESHOLD input is above 2/3V CC ENGR 210 Lab 8 RC Oscillators and Measurements Purpose: In the previous lab you measured the exponential response of RC circuits. Typically, the exponential time response of a circuit becomes important

More information

DIGITAL ELECTRONICS ANALOG ELECTRONICS

DIGITAL ELECTRONICS ANALOG ELECTRONICS DIGITAL ELECTRONICS 1. N10 4 Bit Binary Universal shift register. 2. N22- Random Access Memory (16*4). 3. N23- Read Only Memory. 4. N4-R-S/D-T Flip flop, characteristic and comparison. 5. Master Slave

More information

Objective: To study and verify the functionality of a) PN junction diode in forward bias. Sl.No. Name Quantity Name Quantity 1 Diode

Objective: To study and verify the functionality of a) PN junction diode in forward bias. Sl.No. Name Quantity Name Quantity 1 Diode Experiment No: 1 Diode Characteristics Objective: To study and verify the functionality of a) PN junction diode in forward bias Components/ Equipments Required: b) Point-Contact diode in reverse bias Components

More information

ANALOG ELECTRONIC CIRCUITS (EE-325-F) LAB MANUAL

ANALOG ELECTRONIC CIRCUITS (EE-325-F) LAB MANUAL ANALOG ELECTRONIC CIRCUITS (EE-325-F) LAB MANUAL V SEMESTER Department Of Electronics & CommunicationEngg. BSA Institute of Technology & Management Faridabad. LIST OF EXPERIMENTS S.NO. NAME OF THE EXPERIMENT

More information

ENGR-2300 Electronic Instrumentation Quiz 3 Spring Name: Solution Please write you name on each page. Section: 1 or 2

ENGR-2300 Electronic Instrumentation Quiz 3 Spring Name: Solution Please write you name on each page. Section: 1 or 2 ENGR-2300 Electronic Instrumentation Quiz 3 Spring 2018 Name: Solution Please write you name on each page Section: 1 or 2 4 Questions Sets, 20 Points Each LMS Portion, 20 Points Question Set 1) Question

More information

ELECTRONICS ADVANCED SUPPLEMENTARY LEVEL

ELECTRONICS ADVANCED SUPPLEMENTARY LEVEL ELECTRONICS ADVANCED SUPPLEMENTARY LEVEL AIMS The general aims of the subject are : 1. to foster an interest in and an enjoyment of electronics as a practical and intellectual discipline; 2. to develop

More information

G.H. Raisoni College of Engineering, Nagpur. Department of Information Technology 1

G.H. Raisoni College of Engineering, Nagpur. Department of Information Technology 1 1 2 List of Experiment CYCLE I 1) To plot the frequency response for inverting configuration of OP AMP on breadboard. 2) To plot the frequency response for non inverting configuration of OP AMP on breadboard.

More information

Dhanalakshmi College of Engineering Manimangalam, Tambaram, Chennai

Dhanalakshmi College of Engineering Manimangalam, Tambaram, Chennai Dhanalakshmi College of Engineering Manimangalam, Tambaram, Chennai 601 301 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING III SEMESTER - R 2013 EC6311 ANALOG AND DIGITAL LABORATORY LABORATORY

More information