3D Integration of MEMS and CMOS via Cu-Cu Bonding with Simultaneous Formation of Electrical, Mechanical and Hermetic Bonds

Size: px
Start display at page:

Download "3D Integration of MEMS and CMOS via Cu-Cu Bonding with Simultaneous Formation of Electrical, Mechanical and Hermetic Bonds"

Transcription

1 3D Integration of MEMS and CMOS via Cu-Cu Bonding with Simultaneous Formation of Electrical, Mechanical and Hermetic Bonds R. Nadipalli 1, J. Fan 1, K. H. Li 2,3, K. W. Wee 3, H. Yu 1, and C. S. Tan 1 1 School of Electrical and Electronics Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore Temasek Laboratories@NTU, Research Techno Plaza, 50 Nanyang Drive, Singapore DSO National Laboratories, 20 Science Park Drive, Singapore Phone: ; *tancs@ntu.edu.sg (J. Fan and R. Nadipalli contributed equally to this work) Abstract- A silicon-on-insulator (SOI) micro-electromechanical system (MEMS) accelerometer, complementary Metal oxide semiconductor (CMOS) readout circuit and simultaneous hermetic encapsulation using low temperature Cu-Cu bonding are investigated for 3D heterogeneous integration of MEMS and CMOS. The MEMS accelerometer is fabricated using bulk micromachining technology. A CMOS-based readout circuit is designed in AMS 0.35μm (2P4M) process. Consequently, hermetic encapsulation by low temperature Cu-Cu thermo-compression bonding has been investigated. According to MIL-STD 883E standard, excellent hermeticity is obtained. A TSV-less stacking method is proposed. Compared with the common integration technologies such as through silicon via (TSV) and wire bonding, this method forms the electrical contact, mechanical support and hermetic seal simultaneously via Cu-Cu thermo-compression bonding. I. INTRODUCTION Compared with two-dimensional semiconductor integration technology which has been developed over the past three decades [1], three-dimensional (3D) integration technology has been widely recognized as the next generation of manufacturing technology for integrated microsystems with ultra-small form factor [2, 3]. This technology can be used to integrate multiple layers of functional electronic blocks into a given chip area. The outstanding advantage of this technology is the possibility of heterogeneous integration [4] which allows building a circuit by stacking active device layers with different fabrication process. In order to miniaturize the integrated microsystems and to achieve the best performance, integration of the MEMS device with the CMOS circuit has become increasingly important in recent years. The SOI technology has been developed to realize several MEMS devices. It has become a very important fabrication technology with its benefit in high aspect ratio, precise control of geometry and potential for integration with CMOS circuits via bonding. Bonding technology is a very common packaging and integration method for 3D integration. Compared with other bonding technologies, metal thermocompression bonding (or diffusion bonding) presents competitive advantages in 3D integration as it allows formation of hermetic sealing, mechanical, and electrical contact in one step. Furthermore, the selection of metal such as copper (Cu) enables bonding at CMOS compatible temperature with better electrical conductivity, mechanical strength, electro-migration resistance and low cost [5]. In this work, one 3D integration technology for MEMS and CMOS process via Cu-Cu bonding is investigated. This MEMS/CMOS integration leads to a simultaneous formation of electrical, mechanical, and hermetic bonds, and hence presents competitive advantages over package-based or monolithic solutions as summarized in Table I. In order to provide a proper operation, the delicate structures should be protected from oxygen corrosion and water vapor content [6] for the internal micro- and nano-scale devices. Therefore, hermetic packaging is absolutely essential in 3D integration. In this approach, a hermetic seal ring is formed during stacking and hence eliminates the need for post-processing to obtain hermetic encapsulation. A SOI-based MEMS accelerometer is designed and fabricated by deep reactive-ion etch (DRIE). A CMOS readout circuit is designed and implemented in AMS 0.35μm (2P4M) process. Consequently, simultaneous hermetic sealing and electrical contact by low temperature Cu-Cu thermo-compression bonding has been investigated for the 3D stacking. A TSV-less 3D integration method which uses routing in CMOS metal layers for the I/O pads is proposed for process simplicity. TABLE I COMPETITIVE ADVANTAGES OF 3D INTEGRATION OF MEMS/CMOS 3D Package/ Monolithic Integration Hybrid Cost Performance Power Functionality Time to market Security Hermetic seal Dedicated Inherent Dedicated

2 (a) (c) (d) Figure 1. Process flow for fabrication of SOI MEMS. (a) SOI wafer preparation (Si 20μm/SiO2 1μm). (b) Deposition of photo-resist. (c) Photoresist patterning and Si device layer etching. (d) SiO 2 etching and photo-resist removal. (b) II. MEMS, CMOS AND VERTICAL STACKING A. SOI MEMS accelerometer The MEMS accelerometer fabrication process flow is outlined in Fig. 1. It starts with a Si/SiO 2 (20μm/1μm) SOI wafer (Fig. 1a) onto which photo-resist 1813 is deposited (Fig. 1b). The DRIE etching windows are patterned photolithographically and the sacrificial layer releasing channels are etched (Fig. 1c). The 20μm structural device layer is released by etching away the 1μm SiO 2 buried oxide in HF (Fig. 1d). The released structure is a comb-drive accelerometer. B. CMOS Readout Circuit A capacitive MEMS readout circuit has been implemented in AMS 0.35µm 2P4M process. The technique of chopper stabilization [7] has been adopted for low noise readout. The system block diagram and the chip micrograph are shown in Fig. 2 and Fig. 3, respectively. The major building blocks are: (1) Low Noise, Band-Pass Gain Stage; (2) Synchronous Demodulator; and (3) Low-Pass Filter. The MEMS is excited using a high frequency sinusoidal signal. The MEMS amplitude-modulates this carrier signal in response to the acceleration experienced. The output of the MEMS goes to a low-noise amplifier, which improves the signal-to-noise ratio. The amplified signal is synchronously demodulated to bring the modulated acceleration signal to base-band. This is followed by an on-chip 2 nd order Sallen-Key low pass filter to remove the high frequency carrier and noise components. C. Vertical Stacking For vertical stacking, both wafer-to-wafer and die-to-wafer are possible. A die-to-wafer approach is chosen as it offers flexibility in the chip size and this is accomplished by bonding the CMOS readout circuit die to the MEMS sensor wafer. Although it is commonly known that the quality of thermocompression bonding can usually be ameliorated when the bonding temperature increases, practical packaging/integration should be achieved at adequately low temperature (typically 300 C or below) for devices that are sensitive to high temperature processing owing to thermal budget limitation and the post-bonding thermo-mechanical stress control. In this work, the bonding medium consists of Cu (300 nm) bonding layer and Ti (50 nm) barrier layer. Cu-Cu can be bonded by thermo-compression method via parallel application of heat and pressure. III. RESULTS AND DISCUSSION A. MEMS Accelerometer Performance The SEM micrograph of the MEMS accelerometer is shown in Fig.4. The structure is properly etched and fully released. The top view of the mass in the center and the comb finger is included in the inset at lower left. In order to ameliorate the releasing rate of the sacrificial layer, holes are etched in the center mass. The suspended structure at the edge of the upper mass is included in the inset at lower right. The resonant frequency of SOI MEMS is measured by a laser vibrometer. The laser vibrometer measures the physical displacement without surface contact. Fig. 5 shows the measured response of the displacement magnitude for the SOI MEMS accelerometer. The response in narrow band with the largest displacement magnitude of 785 nm at its resonant frequency (136 KHz) is shown in Fig. 5a. The response in large band is shown in Fig. 5b. The first mode (fundamental mode) is clearly at 136 KHz and the harmonic modes appear periodically after the fundamental mode. Figure 2. System block diagram. Figure 3. Chip micrograph of the readout circuit.

3 Figure 4. SEM micrograph of the suspended MEMS accelerometer. C. Hermetic Test The cross-sectional SEM image of two bonded nonfunctional chips is shown in Fig. 8. A close-up view showing the bonding of the seal ring and the capping wafer is included in the SEM image at lower right. This structure is used to study the properties of Cu-Cu hermetic seal ring. The bonding interface is clearly marked with an arrow and no void is observed. A high resolution transmission electron microscopy (TEM) image is included in the inset at the lower left. As can be seen from the TEM image, the two Cu layers have fused together during bonding resulting in a homogeneous and seamless interface. The original bonding interface has disappeared and a zig-zag interface is obtained as a result of Cu inter-diffusion and grain growth. In addition, Peng et al. [14] in our research group has recently shown an excellent electrical contact and an outstanding mechanical support for high density 3D interconnect of Cu-Cu contacts. Based on the results presented in this work, Cu-Cu thermo-compression bonding can also simultaneously provide excellent hermetic encapsulation. This is very attractive for packaging the devices which need high hermeticity and for heterogeneous integration of MEMS and CMOS. For example, one can use the CMOS layer as an active cap to protect the sensitive MEMS structure [15] using Cu-Cu seal bonding at low temperature. (a) (b) Figure 5. MEMS response during excitation with laser vibrometer. (a) Response in narrow band. (b) Response in large band. Figure 6. MEMS-like signal input to the readout circuit. B. CMOS Readout Circuit Performance The readout circuit is excited with a MEMS-like signal (Fig.6) using an Arbitrary Waveform Generator. As chopperstabilization has been employed, the input signal will look like an AM-modulated signal with the baseband representing the response to acceleration and the carrier frequency representing the actual chopping carrier at 100 khz. The readout circuit successfully amplifies, demodulates, low-pass filters and recovers the 111 Hz sinusoidal acceleration signal (Fig. 7). The readout has a sensitivity of 5.1mV/g with a ± 30g measurement range. Figure 7. Recovered 111 Hz sinusoidal acceleration signal.

4 TABLE II HELIUM LEAK RATE OF CU THERMO-COMPRESSION BONDING AT 300 C Seal ring size (μm) Helium leak rate (atm.cm 3 /sec) x Figure 8. SEM image of cavity sealed with Cu-Cu bonding. (Lower right inset) SEM image shows the close-up view of the bonding interface. (Lower left inset) TEM images of the bonded Cu-Cu layer and the grain structure. Fig. 9 shows a cross-sectional view of TSV-less stacking method proposed for MEMS and CMOS 3D integration. The seal ring is trenched in SOI MEMS wafer during the device layer DRIE etching. The electrode pad of MEMS is bonded to a connection pad on the CMOS die and will be routed to external by using lower metal layers in the CMOS chip. Metallization process can be realized during the SOI MEMS fabrication. Therefore, the 3D stacking can be achieved as a die to wafer bonding process which needs alignment and follows a similar process to that of the Cu thermo-compression bonding mentioned above. IV. SUMMARY AND CONCLUSION SOI MEMS accelerometer, CMOS read out circuit and Cu- Cu thermo-compression bonding have been tested and verified with reasonable results for 3D integration of MEMS and CMOS. Bulk micromachining technology is used for the SOI MEMS accelerometer fabrication. The resonant frequency of this accelerometer is measured by a Laser Vibrometer. The response in large band indicates the resonant frequency at 136 KHz. A CMOS readout circuit has been designed in a 0.35µm CMOS process employing chopper stabilization. It has a sensitivity of 5.1mV/g. Helium leak test demonstrates that the samples encapsulated (bonding between non-functional cavity wafer and cap wafer) achieve a superior helium leak rate below atm.cm 3 /sec, which is at least one order of magnitude smaller than the reject limit of atm.cm 3 /sec defined by the MILSTD-883E standard. A TSV-less I/O routing 3D integration method is proposed for future integration of CMOS and MEMS. Since Cu-Cu thermocompression bonding can provide electrical, mechanical and hermetic bonds in one step, this TSV-less 3D integration method will simplify the fabrication process and improves yield. Figure 9. 3D stacking of MEMS and CMOS ACKNOWLEDGMENT This work is supported by Defence Science and Technology Agency (DSTA), Singapore. Partial funding is also provided by Nanyang Assistant Professorship supported by Nanyang Technological University (NTU). Authors are grateful for support provided by the management and technical staff in the Nanyang Nano-Fabrication Center at NTU. REFERENCES [1] M. Motoyoshi, "Through-Silicon Via (TSV)," P. IEEE., vol. 97, pp , [2] C. T. Ko and K. N. Chen, "Wafer-level Bonding/stacking Technology for 3D Integration," Microelectron. Reliab., vol. 50, pp , [3] C. S. Tan, J. Fan, D. F. Lim, G. Y. Chong, and K. H. Li, "Low Temperature Wafer-level Bonding for Hermetic Packaging of 3D Microsystems," J. Micromech. Microeng., vol. 21, p , [4] C. S. Tan, "Thermal characteristic of Cu-Cu bonding layer in 3-D integrated circuits stack," Microelectron. Eng., vol. 87, pp , [5] J. Fan, D. F. Lim, L. Peng, K. H. Li, and C. S. Tan, "Low Temperature Cu-to-Cu Bonding for Wafer-Level Hermetic Encapsulation of 3D Microsystems," Electrochem. Solid-State Lett., vol. 14, pp. H470-H474, [6] S. H. Lee, J. Mitchell, W. Welch, S. Lee, and K. Najafi, "Wafer-level Vacuum/hermetic Packaging Technologies for MEMS," Reliability, Packaging, Testing, and Characterization of Mems/Moems and Nanodevices Ix, 2010, p [7] Sherman, S.J.; Tsang, W.K.; Core, T.A.; Quinn, D.E.;, "A low cost monolithic accelerometer," VLSI Circuits, Digest of Technical Papers., 1992 Symposium on, vol., no., pp.34-35, 4-6 Jun 1992 [8] "MIL-STD-883E, 1995 method ," ed. [9] Y. Tao and A. P. Malshe, "Theoretical Investigation on Hermeticity Testing of MEMS Packages Based on MIL-STD-883E," Microelectron. Reliab., vol. 45, pp , 2005.

5 [10] J. Oberhammer, F. Niklaus, and G. Stemme, "Sealing of Aadhesive Bonded Devices on Wafer Level," Sens. Actuators, A, vol. 110, pp , [11] Y. K. Kim, E. K. Kim, S. W. Kim, and B. K. Ju, "Low Temperature Epoxy Bonding for Wafer Level MEMS Packaging," Sens. Actuators, A, vol. 143, pp , [12] D. Q. Yu, C. Lee, L. L. Yan, M. L. Thew, and J. H. Lau, "Characterization and Reliability Study of Low Temperature Hermetic Wafer Level Bonding Using In/Sn Interlayer and Cu/Ni/Au Metallization," J. Alloy. Compd., vol. 485, pp , [13] D. H. Xu, E. R. Jing, B. Xiong, and Y. L. Wang, "Wafer-Level Vacuum Packaging of Micromachined Thermoelectric IR Sensors," IEEE T. Adv. Pack., vol. 33, pp , [14] L. Peng, H. Y. Li, D. F. Lim, S. Gao, and C. S. Tan, "High-Density 3-D Interconnect of Cu-Cu Contacts With Enhanced Contact Resistance by Self-Assembled Monolayer (SAM) Passivation," IEEE Trans. Electron Devices, vol. 58, pp , [15] J. Lau, C. Lee, C. Premachandran, and A. Yu, Advanced MEMS Packaging. New York McGraw-Hill, 2009.

True Three-Dimensional Interconnections

True Three-Dimensional Interconnections True Three-Dimensional Interconnections Satoshi Yamamoto, 1 Hiroyuki Wakioka, 1 Osamu Nukaga, 1 Takanao Suzuki, 2 and Tatsuo Suemasu 1 As one of the next-generation through-hole interconnection (THI) technologies,

More information

Wafer-level Vacuum Packaged X and Y axis Gyroscope Using the Extended SBM Process for Ubiquitous Robot applications

Wafer-level Vacuum Packaged X and Y axis Gyroscope Using the Extended SBM Process for Ubiquitous Robot applications Proceedings of the 17th World Congress The International Federation of Automatic Control Wafer-level Vacuum Packaged X and Y axis Gyroscope Using the Extended SBM Process for Ubiquitous Robot applications

More information

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging Christophe Kopp, St ephane Bernab e, Badhise Ben Bakir,

More information

CMP for More Than Moore

CMP for More Than Moore 2009 Levitronix Conference on CMP Gerfried Zwicker Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germany gerfried.zwicker@isit.fraunhofer.de Contents Moore s Law and More Than Moore Comparison:

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C45 ME C18 Introduction to MEMS Design Fall 008 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 9470 Lecture 7: Noise &

More information

Micro-nanosystems for electrical metrology and precision instrumentation

Micro-nanosystems for electrical metrology and precision instrumentation Micro-nanosystems for electrical metrology and precision instrumentation A. Bounouh 1, F. Blard 1,2, H. Camon 2, D. Bélières 1, F. Ziadé 1 1 LNE 29 avenue Roger Hennequin, 78197 Trappes, France, alexandre.bounouh@lne.fr

More information

Micro and Smart Systems

Micro and Smart Systems Micro and Smart Systems Lecture - 39 (1)Packaging Pressure sensors (Continued from Lecture 38) (2)Micromachined Silicon Accelerometers Prof K.N.Bhat, ECE Department, IISc Bangalore email: knbhat@gmail.com

More information

Sensors & Transducers Published by IFSA Publishing, S. L., 2016

Sensors & Transducers Published by IFSA Publishing, S. L., 2016 Sensors & Transducers Published by IFSA Publishing, S. L., 2016 http://www.sensorsportal.com Out-of-plane Characterization of Silicon-on-insulator Multiuser MEMS Processes-based Tri-axis Accelerometer

More information

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology 3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology by Seung Wook Yoon, *K. T. Kang, W. K. Choi, * H. T. Lee, Andy C. B. Yong and Pandi C. Marimuthu STATS ChipPAC LTD, 5 Yishun Street

More information

2007-Novel structures of a MEMS-based pressure sensor

2007-Novel structures of a MEMS-based pressure sensor C-(No.16 font) put by office 2007-Novel structures of a MEMS-based pressure sensor Chang-Sin Park(*1), Young-Soo Choi(*1), Dong-Weon Lee (*2) and Bo-Seon Kang(*2) (1*) Department of Mechanical Engineering,

More information

PROBLEM SET #7. EEC247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2015 C. Nguyen. Issued: Monday, April 27, 2015

PROBLEM SET #7. EEC247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2015 C. Nguyen. Issued: Monday, April 27, 2015 Issued: Monday, April 27, 2015 PROBLEM SET #7 Due (at 9 a.m.): Friday, May 8, 2015, in the EE C247B HW box near 125 Cory. Gyroscopes are inertial sensors that measure rotation rate, which is an extremely

More information

Circular Piezoelectric Accelerometer for High Band Width Application

Circular Piezoelectric Accelerometer for High Band Width Application Downloaded from orbit.dtu.dk on: Apr 27, 2018 Circular Piezoelectric Accelerometer for High Band Width Application Hindrichsen, Christian Carstensen; Larsen, Jack; Lou-Møller, Rasmus; Hansen, K.; Thomsen,

More information

Heterogeneous Technology Alliance. SOI MEMS Platform

Heterogeneous Technology Alliance. SOI MEMS Platform Heterogeneous Technology Alliance SOI MEMS Platform Added value of HTA SOI MEMS Platform to customers 23-Aug-11 Page 1 Attractive offering of HTA SOI MEMS Platform One-stop shop 1 Very extensive R&D resources,

More information

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films Hermetic Packaging Solutions using Borosilicate Glass Thin Films 1 Company Profile Company founded in 2006 ISO 9001:2008 qualified since 2011 Headquarters and Production in Dresden, Germany Production

More information

SILICON BASED CAPACITIVE SENSORS FOR VIBRATION CONTROL

SILICON BASED CAPACITIVE SENSORS FOR VIBRATION CONTROL SILICON BASED CAPACITIVE SENSORS FOR VIBRATION CONTROL Shailesh Kumar, A.K Meena, Monika Chaudhary & Amita Gupta* Solid State Physics Laboratory, Timarpur, Delhi-110054, India *Email: amita_gupta/sspl@ssplnet.org

More information

Design and Fabrication of RF MEMS Switch by the CMOS Process

Design and Fabrication of RF MEMS Switch by the CMOS Process Tamkang Journal of Science and Engineering, Vol. 8, No 3, pp. 197 202 (2005) 197 Design and Fabrication of RF MEMS Switch by the CMOS Process Ching-Liang Dai 1 *, Hsuan-Jung Peng 1, Mao-Chen Liu 1, Chyan-Chyi

More information

TSI, or through-silicon insulation, is the

TSI, or through-silicon insulation, is the Vertical through-wafer insulation: Enabling integration and innovation PETER HIMES, Silex Microsystems AB, Järfälla SWEDEN Through-wafer insulation has been used to develop technologies such as Sil-Via

More information

An X band RF MEMS switch based on silicon-on-glass architecture

An X band RF MEMS switch based on silicon-on-glass architecture Sādhanā Vol. 34, Part 4, August 2009, pp. 625 631. Printed in India An X band RF MEMS switch based on silicon-on-glass architecture M S GIRIDHAR, ASHWINI JAMBHALIKAR, J JOHN, R ISLAM, C L NAGENDRA and

More information

Silicon Light Machines Patents

Silicon Light Machines Patents 820 Kifer Road, Sunnyvale, CA 94086 Tel. 408-240-4700 Fax 408-456-0708 www.siliconlight.com Silicon Light Machines Patents USPTO No. US 5,808,797 US 5,841,579 US 5,798,743 US 5,661,592 US 5,629,801 US

More information

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE.

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE. Copyright 2008 Year IEEE. Reprinted from IEEE ECTC 2008. 27-30 May 2008, Florida USA.. This material is posted here with permission of the IEEE. Such permission of the IEEE does not in any way imply IEEE

More information

ISSCC 2006 / SESSION 16 / MEMS AND SENSORS / 16.1

ISSCC 2006 / SESSION 16 / MEMS AND SENSORS / 16.1 16.1 A 4.5mW Closed-Loop Σ Micro-Gravity CMOS-SOI Accelerometer Babak Vakili Amini, Reza Abdolvand, Farrokh Ayazi Georgia Institute of Technology, Atlanta, GA Recently, there has been an increasing demand

More information

Design of Temperature Sensitive Structure for Micromechanical Silicon Resonant Accelerometer

Design of Temperature Sensitive Structure for Micromechanical Silicon Resonant Accelerometer Design of Temperature Sensitive Structure for Micromechanical Silicon Resonant Accelerometer Heng Li, Libin Huang*, Qinqin Ran School of Instrument Science and Engineering, Southeast University Nanjing,

More information

MEMS Sensors: From Automotive. CE Applications. MicroNanoTec Forum Innovations for Industry April 19 th Hannover, Germany

MEMS Sensors: From Automotive. CE Applications. MicroNanoTec Forum Innovations for Industry April 19 th Hannover, Germany MEMS Sensors: From Automotive to CE Applications MicroNanoTec Forum Innovations for Industry 2010 April 19 th Hannover, Germany Oliver Schatz, CTO 1 Engineering April 2010 GmbH 2009. All rights reserved,

More information

Wafer-Level Vacuum-Packaged Piezoelectric Energy Harvesters Utilizing Two-Step Three-Wafer Bonding

Wafer-Level Vacuum-Packaged Piezoelectric Energy Harvesters Utilizing Two-Step Three-Wafer Bonding 2017 IEEE 67th Electronic Components and Technology Conference Wafer-Level Vacuum-Packaged Piezoelectric Energy Harvesters Utilizing Two-Step Three-Wafer Bonding Nan Wang, Li Yan Siow, Lionel You Liang

More information

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Progress In Electromagnetics Research Letters, Vol. 74, 117 123, 2018 A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Jun Zhou 1, 2, *, Jiapeng Yang 1, Donglei Zhao 1, and Dongsheng

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C245 ME C218 Introduction to MEMS Design Fall 2008 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 1: Definition

More information

Low-Cost Far-Infrared FPA based on High-Volume Pressure Sensor Process

Low-Cost Far-Infrared FPA based on High-Volume Pressure Sensor Process Low-Cost Far-Infrared FPA based on High-Volume Pressure Sensor Process Michael Krueger 1, Ingo Herrmann 1 Robert Bosch GmbH - Automotive Electronics, Tuebinger Str. 13, D-776 Reutlingen, Germany, michael.krueger@de.bosch.com

More information

Smart Vision Chip Fabricated Using Three Dimensional Integration Technology

Smart Vision Chip Fabricated Using Three Dimensional Integration Technology Smart Vision Chip Fabricated Using Three Dimensional Integration Technology H.Kurino, M.Nakagawa, K.W.Lee, T.Nakamura, Y.Yamada, K.T.Park and M.Koyanagi Dept. of Machine Intelligence and Systems Engineering,

More information

3D Integration Using Wafer-Level Packaging

3D Integration Using Wafer-Level Packaging 3D Integration Using Wafer-Level Packaging July 21, 2008 Patty Chang-Chien MMIC Array Receivers & Spectrographs Workshop Pasadena, CA Agenda Wafer-Level Packaging Technology Overview IRAD development on

More information

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura Stresa, Italy, 25-27 April 2007 PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING Teruhisa Akashi and Yasuhiro Yoshimura Mechanical Engineering Research Laboratory (MERL),

More information

A Low-cost Through Via Interconnection for ISM WLP

A Low-cost Through Via Interconnection for ISM WLP A Low-cost Through Via Interconnection for ISM WLP Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim, Seung-Wook Park, Young-Do Kweon, Sung Yi To cite this version: Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim,

More information

Hiding In Plain Sight. How Ultrasonics Can Help You Find the Smallest Bonded Wafer and Device Defects. A Sonix White Paper

Hiding In Plain Sight. How Ultrasonics Can Help You Find the Smallest Bonded Wafer and Device Defects. A Sonix White Paper Hiding In Plain Sight How Ultrasonics Can Help You Find the Smallest Bonded Wafer and Device Defects A Sonix White Paper If You Can See It, You Can Solve It: Understanding Ultrasonic Inspection of Bonded

More information

MEMS in ECE at CMU. Gary K. Fedder

MEMS in ECE at CMU. Gary K. Fedder MEMS in ECE at CMU Gary K. Fedder Department of Electrical and Computer Engineering and The Robotics Institute Carnegie Mellon University Pittsburgh, PA 15213-3890 fedder@ece.cmu.edu http://www.ece.cmu.edu/~mems

More information

Micro Coriolis Mass Flow Sensor with Extended Range for a Monopropellant Micro Propulsion System

Micro Coriolis Mass Flow Sensor with Extended Range for a Monopropellant Micro Propulsion System DOI 10.516/sensor013/D.4 Micro Coriolis Mass Flow Sensor with Extended Range for a Monopropellant Micro Propulsion System Joost C. Lötters 1,, Jarno Groenesteijn, Marcel A. Dijkstra, Harmen Droogendijk,

More information

1264 JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, VOL. 24, NO. 5, OCTOBER 2015

1264 JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, VOL. 24, NO. 5, OCTOBER 2015 1264 JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, VOL. 24, NO. 5, OCTOBER 2015 A Bulk-Micromachined Three-Axis Capacitive MEMS Accelerometer on a Single Die Serdar Tez, Ulas Aykutlu, Mustafa Mert Torunbalci,

More information

MEMS BASED QUARTZ OSCILLATORS and FILTERS for on-chip INTEGRATION

MEMS BASED QUARTZ OSCILLATORS and FILTERS for on-chip INTEGRATION MEMS BASED QUARTZ OSCILLATORS and FILTERS for on-chip INTEGRATION R. L. Kubena, F. P. Stratton, D. T. Chang, R. J. Joyce, and T. Y. Hsu Sensors and Materials Laboratory, HRL Laboratories, LLC Malibu, CA

More information

MEMS-based Micro Coriolis mass flow sensor

MEMS-based Micro Coriolis mass flow sensor MEMS-based Micro Coriolis mass flow sensor J. Haneveld 1, D.M. Brouwer 2,3, A. Mehendale 2,3, R. Zwikker 3, T.S.J. Lammerink 1, M.J. de Boer 1, and R.J. Wiegerink 1. 1 MESA+ Institute for Nanotechnology,

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 21: Gyros

More information

Underground M3 progress meeting 16 th month --- Strain sensors development IMM Bologna

Underground M3 progress meeting 16 th month --- Strain sensors development IMM Bologna Underground M3 progress meeting 16 th month --- Strain sensors development IMM Bologna Matteo Ferri, Alberto Roncaglia Institute of Microelectronics and Microsystems (IMM) Bologna Unit OUTLINE MEMS Action

More information

A Novel WL-Integrated Low-Insertion-Loss Filter with Suspended High-Q Spiral Inductor and Patterned Ground Shields

A Novel WL-Integrated Low-Insertion-Loss Filter with Suspended High-Q Spiral Inductor and Patterned Ground Shields Progress In Electromagnetics Research C, Vol. 59, 41 49, 2015 A Novel WL-Integrated Low-Insertion-Loss Filter with Suspended High-Q Spiral Inductor and Patterned Ground Shields Tao Zheng 1, 2, Mei Han

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2010

EE C245 ME C218 Introduction to MEMS Design Fall 2010 Instructor: Prof. Clark T.-C. Nguyen EE C245 ME C218 Introduction to MEMS Design Fall 2010 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley

More information

UNIVERSITY OF OSLO. Guide for this exam:

UNIVERSITY OF OSLO. Guide for this exam: Page 1 UNIVERSITY OF OSLO Faculty of Mathematics and Natural Sciences Guide for this exam: Exam in: FYS4260 Microsystems and Electronic Packaging & Interconnection Technologies Exam date: Friday, June

More information

Surface Micromachining

Surface Micromachining Surface Micromachining An IC-Compatible Sensor Technology Bernhard E. Boser Berkeley Sensor & Actuator Center Dept. of Electrical Engineering and Computer Sciences University of California, Berkeley Sensor

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

CMOS-Electromechanical Systems Microsensor Resonator with High Q-Factor at Low Voltage

CMOS-Electromechanical Systems Microsensor Resonator with High Q-Factor at Low Voltage CMOS-Electromechanical Systems Microsensor Resonator with High Q-Factor at Low Voltage S.Thenappan 1, N.Porutchelvam 2 1,2 Department of ECE, Gnanamani College of Technology, India Abstract The paper presents

More information

D. Impedance probe fabrication and characterization

D. Impedance probe fabrication and characterization D. Impedance probe fabrication and characterization This section summarizes the fabrication process of the MicroCard bioimpedance probes. The characterization process is also described and the main electrical

More information

Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors

Micro-sensors - what happens when you make classical devices small: MEMS devices and integrated bolometric IR detectors Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors Dean P. Neikirk 1 MURI bio-ir sensors kick-off 6/16/98 Where are the targets

More information

Novel piezoresistive e-nose sensor array cell

Novel piezoresistive e-nose sensor array cell 4M2007 Conference on Multi-Material Micro Manufacture 3-5 October 2007 Borovets Bulgaria Novel piezoresistive e-nose sensor array cell V.Stavrov a, P.Vitanov b, E.Tomerov a, E.Goranova b, G.Stavreva a

More information

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches University of Pennsylvania From the SelectedWorks of Nipun Sinha 29 Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches Nipun Sinha, University of Pennsylvania Timothy S.

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 1: Definition

More information

Out-of-plane translatory MEMS actuator with extraordinary large stroke for optical path length modulation in miniaturized FTIR spectrometers

Out-of-plane translatory MEMS actuator with extraordinary large stroke for optical path length modulation in miniaturized FTIR spectrometers P 12 Out-of-plane translatory MEMS actuator with extraordinary large stroke for optical path length modulation in miniaturized FTIR spectrometers Sandner, Thilo; Grasshoff, Thomas; Schenk, Harald; Kenda*,

More information

IN-CHIP DEVICE-LAYER THERMAL ISOLATION OF MEMS RESONATOR FOR LOWER POWER BUDGET

IN-CHIP DEVICE-LAYER THERMAL ISOLATION OF MEMS RESONATOR FOR LOWER POWER BUDGET Proceedings of IMECE006 006 ASME International Mechanical Engineering Congress and Exposition November 5-10, 006, Chicago, Illinois, USA IMECE006-15176 IN-CHIP DEVICE-LAYER THERMAL ISOLATION OF MEMS RESONATOR

More information

The Advantages of Integrated MEMS to Enable the Internet of Moving Things

The Advantages of Integrated MEMS to Enable the Internet of Moving Things The Advantages of Integrated MEMS to Enable the Internet of Moving Things January 2018 The availability of contextual information regarding motion is transforming several consumer device applications.

More information

Optical MEMS pressure sensor based on a mesa-diaphragm structure

Optical MEMS pressure sensor based on a mesa-diaphragm structure Optical MEMS pressure sensor based on a mesa-diaphragm structure Yixian Ge, Ming WanJ *, and Haitao Yan Jiangsu Key Lab on Opto-Electronic Technology, School of Physical Science and Technology, Nanjing

More information

Figure 1: Layout of the AVC scanning micromirror including layer structure and comb-offset view

Figure 1: Layout of the AVC scanning micromirror including layer structure and comb-offset view Bauer, Ralf R. and Brown, Gordon G. and Lì, Lì L. and Uttamchandani, Deepak G. (2013) A novel continuously variable angular vertical combdrive with application in scanning micromirror. In: 2013 IEEE 26th

More information

MEMS Processes at CMP

MEMS Processes at CMP MEMS Processes at CMP MEMS Processes Bulk Micromachining MUMPs from MEMSCAP Teledyne DALSA MIDIS Micralyne MicraGEM-Si CEA/LETI Photonic Si-310 PHMP2M 2 Bulk micromachining on CMOS Compatible with electronics

More information

Capacitive Versus Thermal MEMS for High-Vibration Applications James Fennelly

Capacitive Versus Thermal MEMS for High-Vibration Applications James Fennelly Capacitive Versus Thermal MEMS for High-Vibration Applications James Fennelly Design engineers involved in the development of heavy equipment that operate in high shock and vibration environments need

More information

Inkjet Filling of TSVs with Silver Nanoparticle Ink. Behnam Khorramdel, Matti Mäntysalo Tampere University of Technology ESTC 2014 Finland, Helsinki

Inkjet Filling of TSVs with Silver Nanoparticle Ink. Behnam Khorramdel, Matti Mäntysalo Tampere University of Technology ESTC 2014 Finland, Helsinki Inkjet Filling of TSVs with Silver Nanoparticle Ink Behnam Khorramdel, Matti Mäntysalo Tampere University of Technology ESTC 2014 Finland, Helsinki Outline Motivation for this study Inkjet in MEMS fabrication

More information

3D SOI elements for System-on-Chip applications

3D SOI elements for System-on-Chip applications Advanced Materials Research Online: 2011-07-04 ISSN: 1662-8985, Vol. 276, pp 137-144 doi:10.4028/www.scientific.net/amr.276.137 2011 Trans Tech Publications, Switzerland 3D SOI elements for System-on-Chip

More information

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016 MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016 A*STAR S IME KICKS OFF CONSORTIA TO DEVELOP ADVANCED PACKAGING SOLUTIONS FOR NEXT-GENERATION INTERNET OF THINGS APPLICATIONS AND HIGH-PERFORMANCE WIRELESS

More information

SiGe based Grating Light Valves: A leap towards monolithic integration of MOEMS

SiGe based Grating Light Valves: A leap towards monolithic integration of MOEMS SiGe based Grating Light Valves: A leap towards monolithic integration of MOEMS S. Rudra a, J. Roels a, G. Bryce b, L. Haspeslagh b, A. Witvrouw b, D. Van Thourhout a a Photonics Research Group, INTEC

More information

TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions

TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions Seung Wook YOON, D.J. Na, *K. T. Kang, W. K. Choi, C.B. Yong, *Y.C. Kim and Pandi C. Marimuthu STATS ChipPAC Ltd.

More information

Design, Characterization & Modelling of a CMOS Magnetic Field Sensor

Design, Characterization & Modelling of a CMOS Magnetic Field Sensor Design, Characteriation & Modelling of a CMOS Magnetic Field Sensor L. Latorre,, Y.Bertrand, P.Haard, F.Pressecq, P.Nouet LIRMM, UMR CNRS / Universit de Montpellier II, Montpellier France CNES, Quality

More information

Optical beam steering using a 2D MEMS scanner

Optical beam steering using a 2D MEMS scanner Optical beam steering using a 2D MEMS scanner Yves Pétremand a, Pierre-André Clerc a, Marc Epitaux b, Ralf Hauffe c, Wilfried Noell a and N.F. de Rooij a a Institute of Microtechnology, University of Neuchâtel,

More information

Through Glass Via (TGV) Technology for RF Applications

Through Glass Via (TGV) Technology for RF Applications Through Glass Via (TGV) Technology for RF Applications C. H. Yun 1, S. Kuramochi 2, and A. B. Shorey 3 1 Qualcomm Technologies, Inc. 5775 Morehouse Dr., San Diego, California 92121, USA Ph: +1-858-651-5449,

More information

On-chip 3D air core micro-inductor for high-frequency applications using deformation of sacrificial polymer

On-chip 3D air core micro-inductor for high-frequency applications using deformation of sacrificial polymer header for SPIE use On-chip 3D air core micro-inductor for high-frequency applications using deformation of sacrificial polymer Nimit Chomnawang and Jeong-Bong Lee Department of Electrical and Computer

More information

On-Wafer Integration of Nitrides and Si Devices: Bringing the Power of Polarization to Si

On-Wafer Integration of Nitrides and Si Devices: Bringing the Power of Polarization to Si On-Wafer Integration of Nitrides and Si Devices: Bringing the Power of Polarization to Si The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters.

More information

Yoshihiko ISOBE Hiroshi MUTO Tsuyoshi FUKADA Seiji FUJINO

Yoshihiko ISOBE Hiroshi MUTO Tsuyoshi FUKADA Seiji FUJINO Yoshihiko ISOBE Hiroshi MUTO Tsuyoshi FUKADA Seiji FUJINO Increased performance requirements in terms of the environment, safety and comfort have recently been imposed on automobiles to ensure efficient

More information

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen What is Silicon on Insulator (SOI)? SOI silicon on insulator, refers to placing a thin layer of silicon on top of an insulator such as SiO2. The devices

More information

Fabricating 2.5D, 3D, 5.5D Devices

Fabricating 2.5D, 3D, 5.5D Devices Fabricating 2.5D, 3D, 5.5D Devices Bob Patti, CTO rpatti@tezzaron.com Tezzar on Semiconduct or 04/15/2013 1 Gen4 Dis-Integrated 3D Memory DRAM layers 42nm node 2 million vertical connections per lay per

More information

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL OUTLINE Introduction Platform Overview Device Library Overview What s Next? Conclusion OUTLINE Introduction Platform Overview

More information

Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI

Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI Ph. Robert 1 Content LETI at a glance From MEMS to NEMS: 30 years of technological evolution

More information

Process Technology to Fabricate High Performance MEMS on Top of Advanced LSI. Shuji Tanaka Tohoku University, Sendai, Japan

Process Technology to Fabricate High Performance MEMS on Top of Advanced LSI. Shuji Tanaka Tohoku University, Sendai, Japan Process Technology to Fabricate High Performance MEMS on Top of Advanced LSI Shuji Tanaka Tohoku University, Sendai, Japan 1 JSAP Integrated MEMS Technology Roadmap More than Moore: Diversification More

More information

Advanced High-Density Interconnection Technology

Advanced High-Density Interconnection Technology Advanced High-Density Interconnection Technology Osamu Nakao 1 This report introduces Fujikura s all-polyimide IVH (interstitial Via Hole)-multi-layer circuit boards and device-embedding technology. Employing

More information

DEVELOPMENT OF RF MEMS SYSTEMS

DEVELOPMENT OF RF MEMS SYSTEMS DEVELOPMENT OF RF MEMS SYSTEMS Ivan Puchades, Ph.D. Research Assistant Professor Electrical and Microelectronic Engineering Kate Gleason College of Engineering Rochester Institute of Technology 82 Lomb

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE Plan Optik AG Plan Optik AG PRODUCT CATALOGUE 2 In order to service the high demand of wafers more quickly, Plan Optik provides off the shelf products in sizes from 2 up to 300mm diameter. Therefore Plan

More information

Wirelessly powered micro-tracer enabled by miniaturized antenna and microfluidic channel

Wirelessly powered micro-tracer enabled by miniaturized antenna and microfluidic channel Journal of Physics: Conference Series PAPER OPEN ACCESS Wirelessly powered micro-tracer enabled by miniaturized antenna and microfluidic channel To cite this article: G Duan et al 2015 J. Phys.: Conf.

More information

Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers

Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers Iulian Codreanu and Glenn D. Boreman We report on the influence of the dielectric substrate

More information

Miniature silicon-on-insulator pressure transducer for absolute pressure measurement at 260 C TP 301

Miniature silicon-on-insulator pressure transducer for absolute pressure measurement at 260 C TP 301 Miniature silicon-on-insulator pressure transducer for absolute pressure measurement at 260 C TP 301 Model 8540 miniature pressure transducer for absolute pressure measurement at 260 C Abstract A miniature,

More information

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER As we discussed in chapter 1, silicon photonics has received much attention in the last decade. The main reason is

More information

MEMS BASED QUARTZ OSCILLATORS and FILTERS for on-chip INTEGRATION

MEMS BASED QUARTZ OSCILLATORS and FILTERS for on-chip INTEGRATION MEMS BASED QUARTZ OSCILLATORS and FILTERS for on-chip INTEGRATION R. L. Kubena, F. P. Stratton, D. T. Chang, R. J. Joyce, and T. Y. Hsu Sensors and Materials Laboratory, HRL Laboratories, LLC Malibu, CA

More information

Piezoelectric Sensors and Actuators

Piezoelectric Sensors and Actuators Piezoelectric Sensors and Actuators Outline Piezoelectricity Origin Polarization and depolarization Mathematical expression of piezoelectricity Piezoelectric coefficient matrix Cantilever piezoelectric

More information

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors ANNOUNCEMENTS Final Exam: When: Wednesday 12/10 12:30-3:30PM Where: 10 Evans (last names beginning A-R) 60 Evans (last names beginning S-Z) Comprehensive coverage of course material Closed book; 3 sheets

More information

Wafer Level Vacuum Packaged Out-of-Plane and In-Plane Differential Resonant Silicon Accelerometers for Navigational Applications

Wafer Level Vacuum Packaged Out-of-Plane and In-Plane Differential Resonant Silicon Accelerometers for Navigational Applications 58 ILLHWAN KIM et al : WAFER LEVEL VACUUM PACKAGED OUT-OF-PLANE AND IN-PLANE DIFFERENTIAL RESONANT SILICON ACCELEROMETERS FOR NAVIGATIONAL APPLICATIONS Wafer Level Vacuum Packaged Out-of-Plane and In-Plane

More information

BMC s heritage deformable mirror technology that uses hysteresis free electrostatic

BMC s heritage deformable mirror technology that uses hysteresis free electrostatic Optical Modulator Technical Whitepaper MEMS Optical Modulator Technology Overview The BMC MEMS Optical Modulator, shown in Figure 1, was designed for use in free space optical communication systems. The

More information

Mechanical Spectrum Analyzer in Silicon using Micromachined Accelerometers with Time-Varying Electrostatic Feedback

Mechanical Spectrum Analyzer in Silicon using Micromachined Accelerometers with Time-Varying Electrostatic Feedback IMTC 2003 Instrumentation and Measurement Technology Conference Vail, CO, USA, 20-22 May 2003 Mechanical Spectrum Analyzer in Silicon using Micromachined Accelerometers with Time-Varying Electrostatic

More information

A Modular MEMS Accelerometer Concept

A Modular MEMS Accelerometer Concept A Modular MEMS Accelerometer Concept M. Brandl, F. Schrank, Ch. Fürböck, V. Kempe austriamicrosystems AG 1, A-8141 Unterpremstaetten, Austria A quasi-monolithic MEMS concept setting up a new family of

More information

Miniature silicon-on-insulator pressure transducer for absolute pressure measurement at 260 C

Miniature silicon-on-insulator pressure transducer for absolute pressure measurement at 260 C Miniature silicon-on-insulator pressure transducer for absolute pressure measurement at 260 C PMiniature silicon-on-insulator pressure transducer for absolute pressure measurement at 260 C Abstract A miniature,

More information

Compression Molding. Solutions for 3D TSV and other advanced packages as well as cost savings for standard package applications

Compression Molding. Solutions for 3D TSV and other advanced packages as well as cost savings for standard package applications Compression Molding Solutions for 3D TSV and other advanced packages as well as cost savings for standard package applications 1. Company Introduction 2. Package Development Trend 3. Compression FFT Molding

More information

Gallium nitride (GaN)

Gallium nitride (GaN) 80 Technology focus: GaN power electronics Vertical, CMOS and dual-gate approaches to gallium nitride power electronics US research company HRL Laboratories has published a number of papers concerning

More information

High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches

High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches : MEMS Device Technologies High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches Joji Yamaguchi, Tomomi Sakata, Nobuhiro Shimoyama, Hiromu Ishii, Fusao Shimokawa, and Tsuyoshi

More information

3D Integration for VLSI Systems

3D Integration for VLSI Systems Published by Pan Stanford Publishing Pte. Ltd. Penthouse Level, Suntec Tower 3 8 Temasek Boulevard Singapore 038988 E-mail: editorial@panstanford.com Web: www.panstanford.com British Library Cataloguing-in-Publication

More information

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process 3D-NAND Flash and Its Manufacturing Process 79 (d) Si Si (b) (c) (e) Si (f) +1-2 (g) (h) Figure 2.33 Top-down view in cap oxide and (b) in nitride_n-2; (c) cross-section near the top of the channel; top-down

More information

Characterization of Rotational Mode Disk Resonator Quality Factors in Liquid

Characterization of Rotational Mode Disk Resonator Quality Factors in Liquid Characterization of Rotational Mode Disk Resonator Quality Factors in Liquid Amir Rahafrooz and Siavash Pourkamali Department of Electrical and Computer Engineering University of Denver Denver, CO, USA

More information

MICROMACHINED INTERFEROMETER FOR MEMS METROLOGY

MICROMACHINED INTERFEROMETER FOR MEMS METROLOGY MICROMACHINED INTERFEROMETER FOR MEMS METROLOGY Byungki Kim, H. Ali Razavi, F. Levent Degertekin, Thomas R. Kurfess G.W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta,

More information

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore.

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. Title Going green for discrete power diode manufacturers Author(s) Tan, Cher Ming; Sun, Lina; Wang, Chase Citation

More information