Activity-Aware Registers Placement for Low Power Gated Clock Tree Construction

Size: px
Start display at page:

Download "Activity-Aware Registers Placement for Low Power Gated Clock Tree Construction"

Transcription

1 Activity-Aware Registers Placement for Low Power Gated Clock Tree Construction Weixiang Shen, Yici Cai, Xianlong Hong Dept. of Computer Science & Technology Tsinghua University Beijing, , P. R. China Jiang Hu Dept. of Electrical and Computer Engineering Texas A&M University College Station, TX 77843, USA Abstract As power consumption of the clock tree dominates over 40% of the total power in modern high performance VLSI designs, measures must be taken to keep it under control. One of the most effective methods is based on clock gating to shut off the clock when the modules are idle. However, previous works on gated clock tree power minimization are most focused on clock routing and the improvements are often limited by the given registers placement. The purpose of this work is to navigate the registers during placement to further reduce the clock tree power based on clock gating. Our method simultaneously performs (1) activityaware register clustering that reduces clock tree power not only by clumping registers into a smaller area, but pulling the registers with similar activity pattern close to shut off more time for the resultant subtrees; (2) timing and activity based net weighting that reduce net switching power by assigning a combination of activity and timing weights to the nets with higher switching rates or more critical timing; (3) gate control logic optimization that still set the gate signal high if a register is active for a number of consecutive clock cycles. Experimental results show that our approach is able to reduce the power and total wirelength of clock tree greatly with minimal overheads. 1. Introduction and Motivation Due to rapidly increasing on-chip power density with technology evolution and the growing market for battery powered devices, power dissipation has become one of the most critical and primary concern for modern IC designs. In the composition of the total power budget of a CMOS circuit, clock distribution network normally accounts for more than 40% [1][7], as the clock nets operate at the highest switching frequency and they drive a larger fan-out. More recently the area of low power clock tree synthesis has been investigated [2]-[8]. The dynamic power consumption is estimated by 1 2 α ifvdd 2 C L, where V dd is the supply voltage, C L is the load capacitance and α i is the activity of target block or net (for clock net, α i = 2 since there is one rising and falling edge in every clock cycle). Since the frequency f is always specified for the circuit, therefore, the power dissipation of the clock tree can only be reduced by two choices. One is to reduce the supply voltage [8], which creates a quadratic reduction; the other is to minimize the switched capacitance α i C L [2]-[7]. Among the methods to reduce the switched capacitance, clock gating is a well-known and the most effective approach to power minimization [4][5][6]. Since clock signal is not always needed, thus power can be saved by masking off the clock when circuits are idle. In [3], Farrahi et al. defined a methodology based on behavioral synthesis to build an activity-driven clock tree. Given a pre-placement description of the design, the set of active and idle times, representing the activity pattern for each module, is extracted from the module s scheduling table. An activity pattern is a string of 0s and 1s, indicating idle and activity control steps, respectively. The tree construction algorithm is heuristic, bottom-up, based on recursive weighted matching, where the cost function is the activity of the resulting subtree. The objective is to cluster into the same subtree modules with similar activity patterns, so that the clock tree can be gated with high probability. In [4][5], Oh et al. presented a zero-skew gated clock routing technique for VLSI circuits that improves upon [3] in two ways. First, it starts from a placed netlist of modules. Second, it accurately accounts for the power consumption of control clock tree and the gated clock control signals. By delaying the merging of high activity nodes, the global activity in the tree is reduced. In [6][7], Donno proposed a power-aware clock tree planning that generating clock tree topology based on a merging cost including both function(i.e., clock activity conditions)

2 and physical (i.e., floorplanning) information at RTL, the results of the method are put into back-end traditional physical design tools as a set of design constraints. Although clock gating has already been a well-known technique to reduce dynamic power consumption in clock network, unfortunately, if applied in a uncontrolled fashion, gating can adversely impact clock power. In fact, in order to amortize its power and area overhead, clock gating logic should be shared among several flip-flops. If the flipflops that share a common gated clock are widely dispersed across the chip, a significant wiring overhead is induced in the clock distribution network. As a result, clock drivers in each domain are loaded with a much larger capacitance and power may increase even if switching activity is decreased. The register s activity pattern plays an important role in gated clock tree for low power. In [2], the author proposed an algorithm of activity-sensitive clock tree construction for low power. Since the parent activity is formed by OR-ing the activity pattern of its children, this means the parent must be active whenever its left or right child is active. By merging two nodes with the similar activity pattern, it will result in the reduction of the active periods of the parent and the number of the control signals transitions. Therefore, the resulting tree needs the clock signal for a percentage of time comparable to that of its children, leading to a reduction of the overall activity in the tree. However, the traditional gated clock network is constructed and optimized at RTL or after placement. In the final placement, the modules with the similar activity pattern may end up being spread far apart across the chip, or the activity pattern of adjacent modules may be different. Therefore, despite we construct the clock tree based on their physical distance or logical distance(the similarity of the activity), or combination both of them after placement [6][7], the resulting tree is always suboptimal. For example shown in Fig. 1, the activity patterns of reg1,, reg3 and are , , , and , respectively. If the placer does not differentiate registers from other logic cells, the registers distribution may result as case (a). Reg1 and reg3 have the similar activity pattern but they are far away, it will increase the wirelength greatly if merge them first based on activity-driven merging strategy, at last the power dissipated on wire may exceed the power saved by masking off clock more time. In [6][7], nodes merging is based on the combination of distance and activity, and the resulting clock tree is as (a) shows. However, if we could cluster the registers to a small area, and pull the registers with the similar activity pattern close as (b), we will not only reduce a lot of wirelength, importantly we can shut off clock more time to save more power. We explain the reason as follows: for the activity pattern of and n 2 in (a), they are and , respectively. We can only shut off the clock on and n 2 2 cycles; while in (b), they are and , respectively, the cycles of shutting off the clock increase to 5. We can delete the gate before reg3 as its activity is the same as, the gate on could play the same role of it. So the power reduction is obvious compared (a) with (b). reg1 reg3 reg1 reg3 reg1 root reg3 n 2 reg1 reg3 (b) Registers distribution if we cluster registers and consider their activities in placement and its corresponding gated clock tree n 2 (a) Registers distribution of normal placer and its corresponding gated clock tree root Figure 1. Two different placements and its corresponding clock tree Clustering the registers and pulling the registers with the similar activity pattern close are helpful for next gated clock tree construction. Numerous attempts have been made to reduce clock network power consumption during the placement stage [9][10]. [9] used the Manhattan ring to navigate registers in placement to minimize the clock network wirelength based on a quadratic placement framework. [10] presented a power-aware placement method including activitybased register clustering and activity-based net weighting. The basic idea behind these methods is try to reduce the clock network power by reducing the clock network wirelength, by clumping the registers within the same leaf cluster of clock tree into a smaller area. However, these methods may not be effective for gated clock tree construction, since we not only need to clump the registers close to minimize the wirelength(or capacitance), but the most important characteristic in gated clock tree is to pull the registers with the similar activity pattern close, in order to reduce the active periods of the resultant subtrees. Combined the unique characteristic of gated clock tree, in this paper, we present an effective gated clock tree aware placement method simultaneously performs (1) Activity pattern based register clustering. We add pseudo edges to connect the registers and assign them appropriate weights to cluster the registers to a small area, especially for the registers with the similar activity pattern. (2) Activity and timing based net weighting to reduce the net switching power. (3) Gate control signal optimization. Suppose a register is active for a number of consecutive clock cycles, it is a waster of energy if control signals go on/off during these cycles. To prevent this, we optimize the gate signal and set it to remain high for one or two clock cycles even after the register is gone idle. The remainder of the paper is organized as follows: in

3 Section 2, we introduce the placer and power model we used. In Section 3 and 4, we present our activity-aware register clustering and net weighting in detail. Section 5 describes the gated clock tree construction and optimization after placement. Experimental results and analysis are given in Section 6, and we conclude the paper in Section Background 2.1. Cut-based Placement Paradigm The placer core we use is the cut-based placer Capo from University of Michigan EDA Lab [11]. A min-cut placement instance contains: 1) a rectangular region (referred to as bin) where cells are to be placed; 2) a hypergraph, with each node representing a cell and each hyperedge representing a signal net connecting two or more cells. The placer recursively partitions each bin and its associated hypergraph at current level, and assigns the subhypergraphs to subbins, minimizing total weighted net cuts for total weighted wirelength reduction. The cut direction usually alternates between horizontal and vertical cuts Power Model Since our ultimate objective is to reduce gated clock tree power consumption, we use a more accurate power model [6][7], which contains: 1) the registers and the clock gating port input capacitance; 2) the capacitance switched by the interconnection in the clock tree and by the interconnection that feeds the control signal to the gating logic. Let c 0 be the unit wire capacitance, l i, l g the interconnection length of the clock tree and of the control gating logic signal, respectively, C i and C g the input capacitance for the register and the gate logic. Power dissipation is then modeled as: [(c 0 l i + C i )p(i) (c 0 l g + C g )p tr ]fv 2 dd (1) where p(i) represents the probability for the register to be active and p tr is the probability to have a transition on the control signal net. 3. Activity-Aware Register Clustering [10] showed the distribution of clock-tree capacitance on an industrial design. The most of total capacitance is at the leaf level, which includes all the clock sinks and the wires connecting them and the driving buffers. So an effective way of reducing clock tree capacitance is to reduce the capacitance at the leaf level. A naive method is register clustering, which try to clump the registers within the same leaf cluster of the clock tree into a small area. However, considering the characteristics of gated clock tree, if we place the registers with similar activity pattern close, the activity of the resultant subtrees by merging these registers will reduce according to Fig. 1, and thus the clock signal can be shut off more periods. So at the register clustering, we also take the register s activity pattern into consideration and try to pull the registers with similar activity pattern close. As we introduced in Section 2.1, the Capo is a minimal cut-based placer. Initially, all the cells are assembled in the center, as the alternative horizontal and vertical cuts, the placement area is partitioned into two areas(bins) with the approximately equal area and minimal weighted cuts, then the cells are assigned to these bins. Indeed, the Capo works does not differentiate registers from logic cells, while the registers are strongly connected with logic cells and placing registers only for clock network may affect ordinary placement adversely. In order to pull the registers close, especially for the registers with similar activity pattern while with minimal overheads, such as signal net wirelength, timing, and signal net power, we do not cluster registers at the first partition levels of Capo and determine the appropriate level to add our pseudo edges according to the circuit scale. In the experiment, we observed that if we do not limit the registers with the similar activity pattern in the same bin, then as the partition continues, these registers may be partitioned into different bins and cannot be pulled close any more. So at the preliminary level, we should pull the registers with the similar activity pattern close strongly, avoid partitioning them to different bins later. So the pseudo edge s weight is determined by two factors: 1) the weight w l with respect to the partition level; 2) the similarity of activity patterns between the registers w s. For the begin levels, w l should be assigned a relatively large value, while for the last levels, the value can be small since the area of bins is relatively small, even the registers are partitioned into its subbins, they are still relatively near. { W : if level = T w l = 3.0 level/ W : if level > T where T is the partition level we start to add our pseudo edges, and W controls the scope of w l. For w s, we evaluate the similarity of the registers in the same bin, then according to the similarity function, we assign an appropriate weight to the added pseudo edge. However, when the number of registers in the bin is larger, and if we add a pseudo edge between every pair registers, the pseudo edges are so many and it will greatly affect the run time of Capo. So at first, we evaluate the average similarity value Average in the bin, and add a pseudo edge between registers only when the value between them is larger than C 0 Average, where C 0 can be determined according to the number of registers in current bin. w s (Reg1, Reg2) = α(c Reg1 + C Reg2 ) p(reg1,reg2)

4 p(reg1,reg2) = P(Reg1.act(i) = 0,Reg2.act(i) = 0) where C Regi is the capacitance of Regi, α is used for normalization, p(reg1, Reg2) is the probability for Reg1 and Reg2 to be idle at the same cycle and 0 i act.size. If w s (Reg1,Reg2) is larger, it means they can decrease more switched capacitance due to their similar activities, so these two registers should be placed close. By merging these registers with close activities, the resulting tree needs the clock signal for a percentage of comparable to that of its leaves, leading to a reduction of the overall activity in the tree. For a particular bin, suppose the registers number in the bin is n, then Average is determined as follow: Average(bin) = n (n 1) 2 n 1 n i=1 j=i+1 w s (Regi,Regj) After determining the weights of w l and w s, then for the pseudo edge added between the registers Regi and Regj at partition level L, the weight of it is determined as follows: W(edge(Regi,Regj)) = w l (L) w s (Regi,Regj) (2) 4. Activity and Timing Based Net Weighting Register clustering and pulling the registers with the similar activity pattern close can effectively reduce the capacitance and the overall activity of the clock tree, thus result in shutting off the clock signal more time to minimize the dynamic power. Unfortunately, it often increases the length of some signal nets and thus the net switching power of the signal nets. This may cancel out the power reduction attained by clock tree. There have been extensive works on net weighting strategies for timing optimization in placement [12], a few other works employ switching activity based net weighting to minimize total switching power [10]. Different from strategies that focus on either timing or power, in this paper, we used the method in [13], which seeks a weighting scheme that improves both. { (1 + c α) (1 + T 0 W = T ) : slack 0 0/N+slack (1 + c α) (1 + N) : else where α is the switching probability of signal net, slack is the the minimum slack at the input of downstream cells, T 0 is typically several times larger than the gate delay, N and c are constant parameters. In order to maintain consistency and avoid oscillation, net weights are incrementally updated in the feedback procedure at every partition level. The weight of an edge is updated considering both its previous value and new value. 5. Gated Clock Tree Construction 5.1. Hierarchical Merging Given the registers placement, we construct a gated clock tree. Similar to the approach introduced in [6][7], we determine the nodes merging order based on a cost function: dis(i,j) = αf(d(i,j)) + βg(l(i,j)) (3) where α and β allow the tuning of the weight of wire-length vs. switching activity, while f and g are used as normalization functions for the physical and logical distances. Given the same definitions as [6], the cost function becomes: 1 dis(i, j) = α[ ( x i x j + y i y j )] dim max + β[1 1 (C i + C j )p(i,j)] (4) C tot We find that the second term is similar to the evaluate function in our placement, since we are trying to pull the registers with the small g(l(i,j)) close, therefore, the dist(i, j) will be smaller. [6][7] builded a balanced clock tree based on level by level merging, but for low power consideration, a better clock tree is constructed in an un-balanced topology [5]. This means that we want to bring the high activity nodes into the tree as late as possible so that the overall activity in the tree will be reduced. However, the wirelength will increase if we merge nodes with small activity first, it will cancel out the power reduced by shutting off clock more cycles. In order to trade off between wirelength and activity, in this paper, we first calculate the dist(i, j) for all possible nodes pairs at current level, and choose the pair with the smallest dist(i,j) to be merged. Other than until all nodes at current level are bi-merged as [6][7], we push the parent of i and j to nodes set immediately for next iteration. The detailed algorithm is shown in algorithm 1. Algorithm 1 Clock Tree Construction Require: a set of sinks {n i } repeat for each pair of n i, n j do compute dis(n i,n j ) according to Eqn. 4 end for pick the pair n i, n j whose dis(n i,n j ) is minimum merge these two nodes and generate a parent n k remove node n i, n j push n k to nodes set until only one node is left in nodes set W = βw new + (1 β)w orig

5 5.2. Gate Moving Initially, we only add a gate before each sink(or register). This may introduce a large number of gates and the gate position isn t the most suitable to minimize the power. In this paper, we used the gate moving strategy in [6][7] to explore the opportunities for moving gating logic from the leaves towards the upper level inside the clock tree. Besides gate moving, there is another case when inserting gates hardly reduces power, when activity of the node is close to 1, it is obvious since there is no time frame during which the node can be shut off, so we can erase the gates before these nodes Gate Control Signal Optimization Suppose a register is active for a number of consecutive clock cycles, it is a waste of energy if signals go on/off during these cycles. To prevent this, the gate signal may be designed to remain high for one or two clock cycles even after the module is gone idle, This prevents unnecessary switching of signal between the consecutive active cycles of register. We propose a heuristic approach to deal with this effect. It performs a post-order visit on the clock tree and for each gated node it tries to find a local minimum for dissipated power including both the wire and the gate. The heuristic works as shown in Fig S1 S2 S1 S Figure 2. A typical gated clock tree Suppose the activity pattern for S 1 is , if we shut off the clock when the register is idle normally, the signal should be set low at the fifth cycle, but this will result in 2 transitions of the signal since the register is active at the next cycle, and the P tr () = 3/14. The power saved by the node S 1 may be less than the power dissipated by the gate. So for this case, we first evaluate the power power before if we set low as long as the register is idle, and then we get the power power after in the case if we still set the signal high if the register is active for a number of consecutive cycles. For the example shown in Fig. 2, we could still set the high at the fifth cycle for S 1, then P tr () reduces to 1/14. At last, we choose the best case to further reduce the power. 6. Experimental Results The proposed algorithm has been implemented with C++ based on Capo 9.3, and experiments are performed on a set Table 1. The characteristics of benchmarks circuit #cell #net #register period(ns) s s s s s of ISCAS89 benchmarks with specified timing constraints and activity profile, the detailed information of the benchmarks are listed in Table 1. In order to evaluate the effectiveness of the proposed method, we examine the results of two algorithms: 1) original Capo placement; 2)the proposed algorithm with navigating registers for gated clock tree in placement. Both of these two placement results use the same clock tree construction and optimization algorithm referred in Section 5. We measure signal nets power, minimum slack, total half perimeter wirelength of signal nets (HPWL), clock tree power, clock tree wirelength and running time for both algorithms. As expected, the results of our algorithm show significantly reduction on clock tree wirelength and clock tree power, with the consideration of registers in placement. As we do not add our register clustering immediately at the first partition levels of Capo, after some partitions, the cells have been assigned to the bins and the registers have been relatively scattered on the chip, so the overheads induced by our algorithm is minimal. By clustering the registers in the bin close, it dramatically reduced the clock tree wirelength, as shown in column 3 of Table 2. Because in the placement, we pull the registers with the similar activity pattern close, which is consistent with the next clock tree construction. By merging these registers, the resulting tree needs the clock signal for a percentage of time comparable to that of its leaves, leading to a reduction of the overall activity in the tree, and we could erase more gates in gate moving step since the parent s activity pattern is more similar as its children. So the power saving and gates number reduction on clock tree are obvious in Table 2. In order to see the effectiveness of our gate control signal optimization separately, we show the clock tree power with and without this technique in Table 3. As we analyzed in Section 5.3, though gate moving is already very effective to find the best gates position to minimize the clock tree power, by preventing the detrimental transitions of the signal, we can further reduce the clock tree power. Fig. 3 illustrates the registers placement results of previous Capo and our algorithm. Since we add pseudo edges between registers, the distribution of registers in (b) is clustered more tightly than (a), which further verifies the reduction of clock tree wirelength and power in our experiment.

6 Table 2. Comparison of our algorithm against placement without considering clock tree construction Circuit Algorithms Clock WL Clock Power #Gate HPWL Signal Power Slack(ns) CPU Time(sec) s1488 ref E our E s15850 ref E E our E E s35932 ref E E our E E s38417 ref E E our E E s38584 ref E E our E E Ave % % % +4.85% % Table 3. The comparison of the clock tree power with/without transition optimization Circuit Previous After Opt Reduction s E E % s % s % s % s % Avg 4.29% (a) Previous placement (b) Our activity-driven placement Figure 3. Registers placement of s Conclusion In this paper, we present an algorithm of low power gated clock tree driven placement. Our idea is not only try to decrease the clock tree wirelength by clumping the registers as previous work, but the most important is to pull the registers with similar activity pattern close, which is especially effective for gated clock tree construction after placement. 8. Acknowledgement The authors would like to thank Prof. Igor Markov for kind help. This work is supported by the National Natural Science Foundation of China (NSFC) References [1] Nir Magen, Avinoam Kolodny, Uri Weiser, Nachum Shamir, Interconnect-dissipation in a Microprocessor, in Proc. SLIP, pp. 7-13, [2] Chunhong Chen, Changjun Kang, Majid Sarrafzadeh, Activity-sensitive clock tree construction for low power, in Proc. ISLPED, pp , [3] A. Farrahi, C. Chen, A. Srivastava, G. Tellez, M. Sarrafzadeh, Activity-driven clock design, IEEE Transactions on CAD/ICAS, Vol. 20, No. 6, pp , June [4] Jaewon Oh and Massoud Pedram, Power reduction in microprocessor chips by gated clock routing, in Proc. ASP- DAC, pp , [5] Jaewon Oh and Massoud Pedram, Gated clock routing for low-power microprocessor design, IEEE Transactions on CAD/ICAS, Vol. 20, No. 6, pp , June, [6] Monica Donno, Alessandro Ivadldi, Luca Benini, Enrico Macii, Clock-tree power optimization based on RTL clockgating, in Proc. DAC, pp , [7] Monica Donno, Enrico Macii, Luca Mazzoni, Power-aware clock tree planning, in Proc. ISPD, pp , [8] Jatuchai Pangjun and Sachin S. Sapatekar, Low-power clock distribution using multiple voltages and reduced swings, IEEE Transactions on CAD/ICAS, Vol. 10, No. 3, pp , June, [9] Yongqian Lu, C. N. Sze, Xianlong Hong, Qiang Zhou, Yici Cai, et al, Navigating registers in placement for clock network minimization, in Proc. DAC, pp , [10] Yongseok Cheon, Pei-Hsin Ho, et al, Power-Aware Placement, in Proc. DAC, pp , [11] [12] T. Kong, A novel net weighting algorithm for timing-driven placement, in Proc. ICCAD, pp , [13] Bin Liu, Yici Cai, Qiang Zhou, Xianlong Hong, Power driven placement with layout aware supply voltage assignment for voltage island generation in dual-vdd design, in Proc. ASP-DAC, pp , 2006.

Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction

Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction Bruce Tseng Faraday Technology Cor. Hsinchu, Taiwan Hung-Ming Chen Dept of EE National Chiao Tung U. Hsinchu, Taiwan April 14, 2008

More information

Power Optimization Techniques Using Multiple VDD

Power Optimization Techniques Using Multiple VDD Power Optimization Techniques Using Multiple VDD Presented by: Rajesh Panda LOW POWER VLSI DESIGN (EEL 6936-002) Dr. Sanjukta Bhanja Literature Review 1) M. Donno, L. Macchiarulo, A. Macii, E. Macii and,

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

Design and Simulation of Data-Driven Clock Gating Technique for Sensor Network

Design and Simulation of Data-Driven Clock Gating Technique for Sensor Network Design and Simulation of Data-Driven Clock Gating Technique for Sensor Network Pydipeddigari Ganesh M.Tech, (Vlsi Design), Dept Of ECE, SVCET, Chittoor. L.Narayana Rao Assistant professor, Dept Of ECE,

More information

Tiago Reimann Cliff Sze Ricardo Reis. Gate Sizing and Threshold Voltage Assignment for High Performance Microprocessor Designs

Tiago Reimann Cliff Sze Ricardo Reis. Gate Sizing and Threshold Voltage Assignment for High Performance Microprocessor Designs Tiago Reimann Cliff Sze Ricardo Reis Gate Sizing and Threshold Voltage Assignment for High Performance Microprocessor Designs A grain of rice has the price of more than a 100 thousand transistors Source:

More information

Chapter 3 Chip Planning

Chapter 3 Chip Planning Chapter 3 Chip Planning 3.1 Introduction to Floorplanning 3. Optimization Goals in Floorplanning 3.3 Terminology 3.4 Floorplan Representations 3.4.1 Floorplan to a Constraint-Graph Pair 3.4. Floorplan

More information

Fast Placement Optimization of Power Supply Pads

Fast Placement Optimization of Power Supply Pads Fast Placement Optimization of Power Supply Pads Yu Zhong Martin D. F. Wong Dept. of Electrical and Computer Engineering Dept. of Electrical and Computer Engineering Univ. of Illinois at Urbana-Champaign

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

ISSN:

ISSN: 1061 Area Leakage Power and delay Optimization BY Switched High V TH Logic UDAY PANWAR 1, KAVITA KHARE 2 12 Department of Electronics and Communication Engineering, MANIT, Bhopal 1 panwaruday1@gmail.com,

More information

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Clock Tree Power reduction by clock latency reduction. By Sunny Arora, Naveen Sampath, Shilpa Gupta, Sunit Bansal, Ateet Mishra. 8ns. 8ns B.

Clock Tree Power reduction by clock latency reduction. By Sunny Arora, Naveen Sampath, Shilpa Gupta, Sunit Bansal, Ateet Mishra. 8ns. 8ns B. Clock Tree Power reduction by clock latency reduction By Sunny Arora, Naveen Sampath, Shilpa Gupta, Sunit Baal, Ateet Mishra Abstract The Current Clock Tree Synthesis strategy used in chips target to build

More information

An Optimized Design System for Flip-Flop Grouping Using Low Power Clock Gating

An Optimized Design System for Flip-Flop Grouping Using Low Power Clock Gating An Optimized Design System for Flip-Flop Grouping Using Low Power Clock Gating Dr. D. Mahesh Kumar Assistant Professor in Electronics, PSG College of Arts & Science, Coimbatore 14, Tamil Nadu, India. Abstract

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

Low Power Glitch Free Modeling in Vlsi Circuitry Using Feedback Resistive Path Logic

Low Power Glitch Free Modeling in Vlsi Circuitry Using Feedback Resistive Path Logic Low Power Glitch Free Modeling in Vlsi Circuitry Using Feedback Resistive Path Logic Dr M.ASHARANI 1, N.CHANDRASEKHAR 2, R.SRINIVASA RAO 3 1 ECE Department, Professor, JNTU, Hyderabad 2,3 ECE Department,

More information

An Enhanced Design Methodology for Resonant Clock. Trees

An Enhanced Design Methodology for Resonant Clock. Trees An Enhanced Design Methodology for Resonant Clock Trees Somayyeh Rahimian, Vasilis Pavlidis, Xifan Tang, and Giovanni De Micheli Abstract Clock distribution networks consume a considerable portion of the

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques

Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques Safeen Huda and Jason Anderson International Symposium on Physical Design Santa Rosa, CA, April 6, 2016 1 Motivation FPGA power increasingly

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

DYNAMIC VOLTAGE FREQUENCY SCALING (DVFS) FOR MICROPROCESSORS POWER AND ENERGY REDUCTION

DYNAMIC VOLTAGE FREQUENCY SCALING (DVFS) FOR MICROPROCESSORS POWER AND ENERGY REDUCTION DYNAMIC VOLTAGE FREQUENCY SCALING (DVFS) FOR MICROPROCESSORS POWER AND ENERGY REDUCTION Diary R. Suleiman Muhammed A. Ibrahim Ibrahim I. Hamarash e-mail: diariy@engineer.com e-mail: ibrahimm@itu.edu.tr

More information

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology UDC 621.3.049.771.14:621.396.949 A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology VAtsushi Tsuchiya VTetsuyoshi Shiota VShoichiro Kawashima (Manuscript received December 8, 1999) A 0.9

More information

Decoupling Capacitance

Decoupling Capacitance Decoupling Capacitance Nitin Bhardwaj ECE492 Department of Electrical and Computer Engineering Agenda Background On-Chip Algorithms for decap sizing and placement Based on noise estimation Decap modeling

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Cao Cao and Bengt Oelmann Department of Information Technology and Media, Mid-Sweden University S-851 70 Sundsvall, Sweden {cao.cao@mh.se}

More information

A High Performance Variable Body Biasing Design with Low Power Clocking System Using MTCMOS

A High Performance Variable Body Biasing Design with Low Power Clocking System Using MTCMOS A High Performance Variable Body Biasing Design with Low Power Clocking System Using MTCMOS G.Lourds Sheeba Department of VLSI Design Madha Engineering College, Chennai, India Abstract - This paper investigates

More information

Design of a Tri-modal Multi-Threshold CMOS Switch with Application to Data Retentive Power Gating

Design of a Tri-modal Multi-Threshold CMOS Switch with Application to Data Retentive Power Gating Design of a Tri-modal Multi-Threshold CMOS Switch with Application to Data Retentive Power Gating Ehsan Pakbaznia, Student Member, and Massoud Pedram, Fellow, IEEE Abstract A tri-modal Multi-Threshold

More information

Optimization of power in different circuits using MTCMOS Technique

Optimization of power in different circuits using MTCMOS Technique Optimization of power in different circuits using MTCMOS Technique 1 G.Raghu Nandan Reddy, 2 T.V. Ananthalakshmi Department of ECE, SRM University Chennai. 1 Raghunandhan424@gmail.com, 2 ananthalakshmi.tv@ktr.srmuniv.ac.in

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

Towards PVT-Tolerant Glitch-Free Operation in FPGAs Towards PVT-Tolerant Glitch-Free Operation in FPGAs Safeen Huda and Jason H. Anderson ECE Department, University of Toronto, Canada 24 th ACM/SIGDA International Symposium on FPGAs February 22, 2016 Motivation

More information

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Ramon Canal NCD Master MIRI. NCD Master MIRI 1 Wattch, Hotspot, Hotleakage, McPAT http://www.eecs.harvard.edu/~dbrooks/wattch-form.html http://lava.cs.virginia.edu/hotspot http://lava.cs.virginia.edu/hotleakage http://www.hpl.hp.com/research/mcpat/

More information

Impact of Low-Impedance Substrate on Power Supply Integrity

Impact of Low-Impedance Substrate on Power Supply Integrity Impact of Low-Impedance Substrate on Power Supply Integrity Rajendran Panda and Savithri Sundareswaran Motorola, Austin David Blaauw University of Michigan, Ann Arbor Editor s note: Although it is tempting

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis

ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis Yasuhiko Sasaki Central Research Laboratory Hitachi, Ltd. Kokubunji, Tokyo, 185, Japan Kunihito Rikino Hitachi Device Engineering Kokubunji,

More information

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University EE434 ASIC & Digital Systems Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 11 Physical Design Issues Interconnect Scaling Effects Dense multilayer metal increases coupling

More information

LSI Design Flow Development for Advanced Technology

LSI Design Flow Development for Advanced Technology LSI Design Flow Development for Advanced Technology Atsushi Tsuchiya LSIs that adopt advanced technologies, as represented by imaging LSIs, now contain 30 million or more logic gates and the scale is beginning

More information

ICCAD 2014 Contest Incremental Timing-driven Placement: Timing Modeling and File Formats v1.1 April 14 th, 2014

ICCAD 2014 Contest Incremental Timing-driven Placement: Timing Modeling and File Formats v1.1 April 14 th, 2014 ICCAD 2014 Contest Incremental Timing-driven Placement: Timing Modeling and File Formats v1.1 April 14 th, 2014 http://cad contest.ee.ncu.edu.tw/cad-contest-at-iccad2014/problem b/ 1 Introduction This

More information

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS SURVEY ND EVLUTION OF LOW-POWER FULL-DDER CELLS hmed Sayed and Hussain l-saad Department of Electrical & Computer Engineering University of California Davis, C, U.S.. STRCT In this paper, we survey various

More information

Low-Power CMOS VLSI Design

Low-Power CMOS VLSI Design Low-Power CMOS VLSI Design ( 范倫達 ), Ph. D. Department of Computer Science, National Chiao Tung University, Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.tw/~ldvan/ Outline Introduction

More information

Optimization of Power Dissipation and Skew Sensitivity in Clock Buffer Synthesis

Optimization of Power Dissipation and Skew Sensitivity in Clock Buffer Synthesis Optimization of Power Dissipation and Skew Sensitivity in Clock Buffer Synthesis Jae W. Chung, De-Yu Kao, Chung-Kuan Cheng, and Ting-Ting Lin Department of Computer Science and Engineering Mail Code 0114

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks Logic Restructuring Revisited Low Power VLSI System Design Lectures 4 & 5: Logic-Level Power Optimization Prof. R. Iris ahar September 8 &, 7 Logic restructuring: hanging the topology of a logic network

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

DesignCon Design of a Low-Power Differential Repeater Using Low Voltage and Charge Recycling. Brock J. LaMeres, University of Colorado

DesignCon Design of a Low-Power Differential Repeater Using Low Voltage and Charge Recycling. Brock J. LaMeres, University of Colorado DesignCon 2005 Design of a Low-Power Differential Repeater Using Low Voltage and Charge Recycling Brock J. LaMeres, University of Colorado Sunil P. Khatri, Texas A&M University Abstract Advances in System-on-Chip

More information

LOW POWER DATA BUS ENCODING & DECODING SCHEMES

LOW POWER DATA BUS ENCODING & DECODING SCHEMES LOW POWER DATA BUS ENCODING & DECODING SCHEMES BY Candy Goyal Isha sood engg_candy@yahoo.co.in ishasood123@gmail.com LOW POWER DATA BUS ENCODING & DECODING SCHEMES Candy Goyal engg_candy@yahoo.co.in, Isha

More information

LOW-POWER design is one of the most critical issues

LOW-POWER design is one of the most critical issues 176 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 2, FEBRUARY 2007 A Novel Low-Power Logic Circuit Design Scheme Janusz A. Starzyk, Senior Member, IEEE, and Haibo He, Member,

More information

Power-conscious High Level Synthesis Using Loop Folding

Power-conscious High Level Synthesis Using Loop Folding Power-conscious High Level Synthesis Using Loop Folding Daehong Kim Kiyoung Choi School of Electrical Engineering Seoul National University, Seoul, Korea, 151-742 E-mail: daehong@poppy.snu.ac.kr Abstract

More information

Analog-aware Schematic Synthesis

Analog-aware Schematic Synthesis 12 Analog-aware Schematic Synthesis Yuping Wu Institute of Microelectronics, Chinese Academy of Sciences, China 1. Introduction An analog circuit has great requirements of constraints on circuit and layout

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits Research Journal of Applied Sciences, Engineering and Technology 5(10): 2991-2996, 2013 ISSN: 2040-7459; e-issn: 2040-7467 Maxwell Scientific Organization, 2013 Submitted: September 16, 2012 Accepted:

More information

Pulse propagation for the detection of small delay defects

Pulse propagation for the detection of small delay defects Pulse propagation for the detection of small delay defects M. Favalli DI - Univ. of Ferrara C. Metra DEIS - Univ. of Bologna Abstract This paper addresses the problems related to resistive opens and bridging

More information

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver 3.1 INTRODUCTION As last chapter description, we know that there is a nonlinearity relationship between luminance

More information

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS Low Power Design Part I Introduction and VHDL design Ricardo Santos ricardo@facom.ufms.br LSCAD/FACOM/UFMS Motivation for Low Power Design Low power design is important from three different reasons Device

More information

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor Disseny físic Disseny en Standard Cells Enric Pastor Rosa M. Badia Ramon Canal DM Tardor 2005 DM, Tardor 2005 1 Design domains (Gajski) Structural Processor, memory ALU, registers Cell Device, gate Transistor

More information

an Intuitive Logic Shifting Heuristic for Improving Timing Slack Violating Paths

an Intuitive Logic Shifting Heuristic for Improving Timing Slack Violating Paths an Intuitive Logic Shifting Heuristic for Improving Timing Slack Violating Paths Xing Wei, Wai-Chung Tang, Yu-Liang Wu Department of Computer Science and Engineering The Chinese University of Hong Kong

More information

Improved DFT for Testing Power Switches

Improved DFT for Testing Power Switches Improved DFT for Testing Power Switches Saqib Khursheed, Sheng Yang, Bashir M. Al-Hashimi, Xiaoyu Huang School of Electronics and Computer Science University of Southampton, UK. Email: {ssk, sy8r, bmah,

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

New Approaches to Total Power Reduction Including Runtime Leakage. Leakage

New Approaches to Total Power Reduction Including Runtime Leakage. Leakage 1 0 0 % 8 0 % 6 0 % 4 0 % 2 0 % 0 % - 2 0 % - 4 0 % - 6 0 % New Approaches to Total Power Reduction Including Runtime Leakage Dennis Sylvester University of Michigan, Ann Arbor Electrical Engineering and

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

Physical Synthesis of Bus Matrix for High Bandwidth Low Power On-chip Communications

Physical Synthesis of Bus Matrix for High Bandwidth Low Power On-chip Communications Physical Synthesis of Bus Matrix for High Bandwidth Low Power On-chip Communications Renshen Wang 1, Evangeline Young 2, Ronald Graham 1 and Chung-Kuan Cheng 1 1 University of California San Diego 2 The

More information

Using a Voltage Domain Programmable Technique for Low-Power Management Cell-Based Design

Using a Voltage Domain Programmable Technique for Low-Power Management Cell-Based Design J. Low Power Electron. Appl. 2011, 1, 303-326; doi:10.3390/jlpea1020303 Article Using a Voltage Domain Programmable Technique for Low-Power Management Cell-Based Design Ching-Hwa Cheng Journal of Low Power

More information

A Dual-V DD Low Power FPGA Architecture

A Dual-V DD Low Power FPGA Architecture A Dual-V DD Low Power FPGA Architecture A. Gayasen 1, K. Lee 1, N. Vijaykrishnan 1, M. Kandemir 1, M.J. Irwin 1, and T. Tuan 2 1 Dept. of Computer Science and Engineering Pennsylvania State University

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS -Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS Jiajun Shi, Mingyu Li and Csaba Andras Moritz Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA,

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

ASICs Concept to Product

ASICs Concept to Product ASICs Concept to Product Synopsis This course is aimed to provide an opportunity for the participant to acquire comprehensive technical and business insight into the ASIC world. As most of these aspects

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 9: Noise Sources Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 5 Report and Prelab 6 due Apr. 3 Stateye

More information

Kaushik Roy. possible to try all ranges of signal properties to estimate. when the number of primary inputs is large. In this paper.

Kaushik Roy. possible to try all ranges of signal properties to estimate. when the number of primary inputs is large. In this paper. Sensitivity - A New Method to Estimate Dissipation Considering Uncertain Specications of Primary Inputs Zhanping Chen Electrical Engineering Purdue University W. Lafayette, IN 47907 Kaushik Roy Electrical

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

Inductance 101: Analysis and Design Issues

Inductance 101: Analysis and Design Issues Inductance 101: Analysis and Design Issues Kaushik Gala, David Blaauw, Junfeng Wang, Vladimir Zolotov, Min Zhao Motorola Inc., Austin TX 78729 kaushik.gala@motorola.com Abstract With operating frequencies

More information

Optimal Module and Voltage Assignment for Low-Power

Optimal Module and Voltage Assignment for Low-Power Optimal Module and Voltage Assignment for Low-Power Deming Chen +, Jason Cong +, Junjuan Xu *+ + Computer Science Department, University of California, Los Angeles, USA * Computer Science and Technology

More information

Exploiting Regularity for Low-Power Design

Exploiting Regularity for Low-Power Design Reprint from Proceedings of the International Conference on Computer-Aided Design, 996 Exploiting Regularity for Low-Power Design Renu Mehra and Jan Rabaey Department of Electrical Engineering and Computer

More information

Voltage Island Aware Floorplanning for Power and Timing Optimization

Voltage Island Aware Floorplanning for Power and Timing Optimization Voltage Island Aware Floorplanning for and Timing Optimization Wan-Ping Lee, Hung-Yi Liu, and Yao-Wen Chang Graduate Institute of Electronics Engineering, National Taiwan University, Taipei 106, Taiwan

More information

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment 1014 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 7, JULY 2005 Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment Dongwoo Lee, Student

More information

Energy Minimization of Real-time Tasks on Variable Voltage. Processors with Transition Energy Overhead. Yumin Zhang Xiaobo Sharon Hu Danny Z.

Energy Minimization of Real-time Tasks on Variable Voltage. Processors with Transition Energy Overhead. Yumin Zhang Xiaobo Sharon Hu Danny Z. Energy Minimization of Real-time Tasks on Variable Voltage Processors with Transition Energy Overhead Yumin Zhang Xiaobo Sharon Hu Danny Z. Chen Synopsys Inc. Department of Computer Science and Engineering

More information

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies Oct. 31, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy

More information

EECS 427 Lecture 22: Low and Multiple-Vdd Design

EECS 427 Lecture 22: Low and Multiple-Vdd Design EECS 427 Lecture 22: Low and Multiple-Vdd Design Reading: 11.7.1 EECS 427 W07 Lecture 22 1 Last Time Low power ALUs Glitch power Clock gating Bus recoding The low power design space Dynamic vs static EECS

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

TIME- OPTIMAL CONVERGECAST IN SENSOR NETWORKS WITH MULTIPLE CHANNELS

TIME- OPTIMAL CONVERGECAST IN SENSOR NETWORKS WITH MULTIPLE CHANNELS TIME- OPTIMAL CONVERGECAST IN SENSOR NETWORKS WITH MULTIPLE CHANNELS A Thesis by Masaaki Takahashi Bachelor of Science, Wichita State University, 28 Submitted to the Department of Electrical Engineering

More information

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE MS. V.NIVEDITHA 1,D.MARUTHI KUMAR 2 1 PG Scholar in M.Tech, 2 Assistant Professor, Dept. of E.C.E,Srinivasa Ramanujan Institute

More information

Dual-Threshold Voltage Assignment with Transistor Sizing for Low Power CMOS Circuits

Dual-Threshold Voltage Assignment with Transistor Sizing for Low Power CMOS Circuits 390 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 9, NO. 2, APRIL 2001 Dual-Threshold Voltage Assignment with Transistor Sizing for Low Power CMOS Circuits TABLE I RESULTS FOR

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

induced Aging g Co-optimization for Digital ICs

induced Aging g Co-optimization for Digital ICs International Workshop on Emerging g Circuits and Systems (2009) Leakage power and NBTI- induced Aging g Co-optimization for Digital ICs Yu Wang Assistant Prof. E.E. Dept, Tsinghua University, China On-going

More information

Review and Analysis of Glitch Reduction for Low Power VLSI Circuits

Review and Analysis of Glitch Reduction for Low Power VLSI Circuits Review and Analysis of Glitch Reduction for Low Power VLSI Circuits Somashekhar Malipatil 1 1 Assistant Professor Department of Electronics & Communication Engineering Nalla Malla Reddy Engineering College,

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

The Physical Design of Long Time Delay-chip

The Physical Design of Long Time Delay-chip 2011 International Conference on Computer Science and Information Technology (ICCSIT 2011) IPCSIT vol. 51 (2012) (2012) IACSIT Press, Singapore DOI: 10.7763/IPCSIT.2012.V51.137 The Physical Design of Long

More information

V out. V in VRM. I Load

V out. V in VRM. I Load Optimal Selection of Voltage Regulator Modules in a Power Delivery Network Behnam Amelifard Massoud Pedram Department of Electrical Engineering University of Southern California Outline Introduction Voltage

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information