It s a matter of tradition. RAPID WHOLE - CHIP RF MODELING ñ Inductance-aware RFIC design

Size: px
Start display at page:

Download "It s a matter of tradition. RAPID WHOLE - CHIP RF MODELING ñ Inductance-aware RFIC design"

Transcription

1 It s a matter of tradition RAPID WHOLE - CHIP RF MODELING ñ Inductance-aware RFIC design

2 Meander border, an ubiquitous ornamental theme in Ancient and Classical Greek pottery painting and architecture.

3 It s a matter of tradition IPL MEMBER Helic's VeloceRFì is based on more than a decade of research on rapid electromagnetic modeling algorithms, adapted to modern silicon technologies. Its seamlessly-integrated design flow enables you to rapidly synthesize spiral inductors, model interconnect parasitics, verify RF ICs with mutual inductance effects all with great ease of use and without wait. VeloceRF is used by semiconductor companies worldwide in the design of demanding circuits for WCDMA, PHS, WLAN, UWB, multi-gigabit communications and more. Whatever your design domain SiGe BiCMOS, 90 nanoscale RF CMOS, System-in-Package inductance is key to first-pass success. Start dealing with it using VeloceRFì.

4 First-time-right RF silicon has been a dream. We strongly believe the designer-centric methodology, the tools and the PDK adaptation we provide with Helic is the ultimate door opener to the whole new world of RF COT/ASIC. Tetsu Tanizawa Fujitsu Limited Sirenza has used VeloceRF for a number of different applications, such as a 5.8GHz ISM band FSK transceiver with a +21dBm PA. VeloceRF allowed us to model supply and ground inductance, giving us insight on how to minimize inductance and mutual inductance that would reduce gain or cause instability. With the use of VeloceRF, the PA met specifications on first pass silicon. Being seamlessly integrated in our design flow, VeloceRF is very easy to use. Bob Koupal Sirenza Microdevices, Inc. The integration of the tool in the Cadence-based design flow is amazing. It allows for a very fast and seamless inductor implementation from initial L and Q request to full layout, Spectre and S-parameters models. Automatically generated testbenches and plots give the designer a full picture of inductor behaviour over a wide frequency range. The ability to generate a poly shield with the touch of a button is great bonus too. Vladimir Posse ClariPhy Communications, Inc.

5 No more visits to the oracle VeloceRF - Spiral Wizard If you are used to relying on an oracle or EM guru for optimal inductors, there is now a better and faster way. If you are the EM guru in your company, your life has just become easier. With Spiral Wizard TM, part of the VeloceRF toolset, it takes only a few seconds to synthesize an inductor geometry according to spec. The Spiral Wizard helps you reach an optimal inductor solution effortlessly. It automatically decides on metal stacking and ground shielding options and delivers DRC-clean output, compliant with DFM rules and electromigration constraints. Optionally, the Spiral Wizard can shrink the size of the resulting spiral inductor or transformer, helping you save precious real estate.

6 The end of a speed vs. accuracy dilemma Clearly, spending several minutes, hours or even days to model a single spiral inductor is a productivity killer. On the other hand, alternatives such as lookup tables and back-of-the-envelope calculations will not meet your accuracy requirements. And it is not only spirals, but also critical interconnect that should be modeled. VeloceRaptor TM, a powerful modeling engine, now cuts the Gordian knot of on-chip EM simulation, by introducing rapid RLCk netlist extraction for spiral Pcells and arbitrary interconnect with proven accuracy in RF and microwave frequencies. This robust, vectorbased engine was developed specifically for integrated structures; even the most complex structures are extracted in seconds. No compromises in accuracy are made though; all applicable EM effects are covered. Conductor skin and proximity effect modeling is comprehensive, yet extremely fast. On-chip temperature gradients can also be modeled, enhancing the verification of RF front-end cells such as integrated power amplifiers and VCOs. Nevertheless, the model netlist size does not get out of hand. Novel algorithms provide adaptable netlist compaction, ranging from full distributed RLCK model to a simplified pi-network. Dielectric C P Broadband skin-effect model C P Magnetic and capacitive coupling R SUB Detailed substrate model takes into account distance and shape of grounding contacts

7 Inductance gains ground If you have been using conventional EM solvers for inductor simulation, you have probably been ignoring ground effects. But a spiral inductor is more than a metal coil. On-chip ground is key in determining inductance effects at high frequencies. VeloceRF seamlessly models parasitic effects introduced by substrate contacts around the spiral. This ensures resonance frequencies are correctly predicted, which leads to safer design. Additionally, VeloceRF Pcells support shielding structures that you can use for optimizing the quality factor and isolation properties of spiral inductors. ñ Accurate substrate modeling for CMOS, SiGe, SOI ñ Parametric polysilicon mesh shields ñ Custom shield design capability

8 EM meets DFM Making it manufacturable is one thing; being able to model it is another. Design for Manufacturability (DFM) rules impose changes in the structure of spiral inductors and high-speed interconnects that affect their electromagnetic (EM) behaviour. Slotting should be applied to relieve mechanical stress on wide metal tracks. Coverage rules in 90nm and 65nm CMOS impose dummy fill patterns or confetti around spiral inductors. VeloceRF supports all this and more, providing Pcells with built-in DFM. You can also simulate the effects of DFM on the EM properties of inductors and interconnects. All of this is performed with great ease and speed. ñ Spiral inductor track slotting ñ Metal track stacking with distributed via contacts ñ Dummy fill patterns included in spiral cells

9 Go with the flow VeloceRF is taking care of the inductors, so that you can focus on designing your circuits. A topology-aware, highly accurate magnetic coupling component can be easily added in schematics simulations making mutual inductance modeling more intuitive. Parasitic magnetic effects are seamlessly included in the early stages of design. VeloceRF seamlessly interfaces with other tools in your design flow, which makes designing and extracting inductors very straightforward. Verification is greatly enhanced for RF, with inductors being included in layout vs. schematics (LVS) checks. You can extract the whole chip with a single click, taking into account all intentional, parasitic and mutual inductances. Interconnects can easily be extracted in place, so full-chip EM simulation becomes part of the flow. ñ Extraction of spirals and interconnects from layout with mutual inductances ñ LVS checks of spiral inductor connectivity and properties

10 Product Datasheet VeloceRaptorì modeling engine Modeling approach Vector-based lumped-element (RLCK) modeling of conductor microstrips. Support for substrate and dielectric losses, conductor skin effect, metal track stacking, temperature gradients. Technology setup ASCII-type file containing metal, dielectric and substrate thickness and resistivity parameters (typical, min, max). No parameter fitting or preprocessing required. Input geometries VeloceRF inductor Pcells, arbitrary path shapes, path-like polygon shapes. Extraction times * 1-2 sec for a 5-turn octagonal spiral inductor 3-4 sec for 4x octagonal spiral inductors as above 4-5 sec for above inductor, with polysilicon mesh shield * measured on an Athlon 3000+/1.8GHz CPU, 2Gb RAM Corner models ñ High L ñ Low L ñ Best Case Q ñ Worst Case Q Supported simulators Any SPICE-type simulator. Spiral Wizardì inductor synthesis & parametric inductor library Supported structures Square, rectangular, octagonal, polygonal and circular spiral inductors (single, differential with/without center-tap, tapered). Spiral transformers, baluns. Synthesis criteria frequency, quality factor, size, track width, bridge segment orientation, metal layer stack, polysilicon shield. For transformers and baluns: center frequency, insertion loss, return losses, bandwidth. VeloceRulesì interfaces for layout vs. schematic verification Methodology Spiral inductor instances have layout, symbol and schematic views to support LVS. For post-layout verification, an extracted view is generated, encapsulating a netlist model for all inductors and any mutual inductances. Metal or polysilicon interconnects and arbitrary paths from layout can be interactively included in the extracted view model. Supported LVS tools Cadence Assura, Mentor Calibre, Synopsis Hercules. Additional tools with standard interfaces (e.g. CDL, GDSII) can be supported. LVS checks Spiral inductor connectivity, spiral type, size, number of turns, track width, polysilicon, shield (on/off), stacking profile. VelocePASSì Process Design Kit setup system Functionality ñ Graphical definition of VeloceRF technology file ñ Pcell layer selection and customization of VeloceRF inductor library ñ Automated creation of VeloceRules (LVS rule decks) ñ Spiral Wizard solution space setup DRC/DFM features ñ Minimum/maximum metal track parameter setting ñ Via contact geometry definition ñ Metal slotting parameter setting ñ Polysilicon shield setting ñ Current carrying limit definition ñ Stacking profile System Requirements Hardware ñ x86 based PC (Intel/AMD) ñ Sun Sparc workstations ñ HP RISC workstations Operating Systems ñ Linux: RedHat 8 / 9 / EL3, SuSE 9.3 / SLES9 ñ SunOS/Solaris: 8 / 9 ñ HPUX: / ñ Windows 2000/XP * EDA Platforms Cadence IC USR4 or higher OpenAccess compatible Support for Ciranova PyCellsì

11 Ordering information VeloceRFì is licensed under time-based lease (TBL) and permanent lease schemes, in a bundle that comprises all of the above modules. Typical customers include fabless chip companies, IDMs, silicon and compound semiconductor foundries. VelocePASSì is a companion product that automates PDK setup for use with VeloceRF. It addresses the needs of foundries and IDMs maintaining custom PDKs. The software allows a high degree of customization in the core features of VeloceRF, such as enabling Design for Manufacturing (DFM) rules for spiral Pcells. VelocePASS is bundled with a priority maintenance and support package that offers customer request processing for Pcell customization and early access to VeloceRF updates. The following programs are offered: ñ VelocePASS basic: License and support for up to two (2) silicon PDKs. ñ VelocePASS advanced: License and support for up to four (4) PDKs, which may include silicon, non-silicon (e.g. GaAs) and SiP processes. Includes 40 hours of on-site and internet-based training. ñ VelocePASS IDM: License and support for up to ten (10) PDKs, silicon, non-silicon and SiP. Includes up to 90 hours of on-site and internetbased training. Product options and codes for RFQ and purchase orders: Code Product License scheme 1100-FL VeloceRF Site license, floating key, 12 mo. TBL 1100-FL-PM VeloceRF Site license, floating key, permanent 1200-FL-B VelocePASS basic Site license, floating key, 12 mo. TBL 1200-FL-A VelocePASS advanced Site license, floating key, 12 mo. TBL 1200-FL-I VelocePASS IDM Site license, floating key, 12 mo. TBL Trademark notice: VeloceRF, the VeloceRF logo, VeloceRaptor, Spiral Wizard, VeloceRules and VelocePASS are trademarks of Helic S.A. The Helic logo is a registered trademark of Helic S.A. All other trademarks are the property of their respective owners. Copyright 2008 by Helic S.A. All rights reserved. Reproduction in whole or in part of this document is prohibited without the prior written consent of the copyright owner. Helic S.A. reserves the right to change specifications contained in this document without notice and without assuming any liability.

12 Global sales: ñ US sales: ñ Japan sales: ñ

EDA Toolsets for RF Design & Modeling

EDA Toolsets for RF Design & Modeling Yiannis Moisiadis, Errikos Lourandakis, Sotiris Bantas Helic, Inc. 101 Montgomery str., suite 1950 San Fransisco, CA 94104, USA Email: {moisiad, lourandakis, s.bantas}@helic.com Abstract This paper presents

More information

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011 Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design Sonnet Application Note: SAN-201B July 2011 Description of Sonnet Suites Professional Sonnet Suites Professional is an industry leading full-wave

More information

EM Analysis of RFIC Inductors and Transformers. Dr.-Ing. Volker Mühlhaus Dr. Mühlhaus Consulting & Software GmbH, Witten

EM Analysis of RFIC Inductors and Transformers. Dr.-Ing. Volker Mühlhaus Dr. Mühlhaus Consulting & Software GmbH, Witten EM Analysis of RFIC Inductors and Transformers Dr.-Ing. Volker Mühlhaus, Witten Do you love inductors? Image Kansas State University Inductors from the design kit tend to have the wrong value, optimized

More information

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC Bud Hunter, SerDes Analog IC Design Manager, Wipro Kelly Damalou, Sr. Technical Account Manager, Helic TSMC

More information

AWR. SIP Flow White Paper UNDERSTANDING AVAILABLE TOOLS FOR RF SYSTEM-IN-PACKAGE AND MULTI-CHIP-MODULE DESIGN AND OPTIMIZATION

AWR. SIP Flow White Paper UNDERSTANDING AVAILABLE TOOLS FOR RF SYSTEM-IN-PACKAGE AND MULTI-CHIP-MODULE DESIGN AND OPTIMIZATION UNDERSTANDING AVAILABLE TOOLS FOR RF SYSTEM-IN-PACKAGE AND MULTI-CHIP-MODULE DESIGN AND OPTIMIZATION RF system-in-package (SiP) and multi-chip-module (MCM) designs present engineers with the challenge

More information

Tutorial: Getting Started with RFIC Inductor Toolkit

Tutorial: Getting Started with RFIC Inductor Toolkit Tutorial: Getting Started with RFIC Inductor Toolkit Table of contents: Tutorial: Getting Started with RFIC Inductor Toolkit... 1 Introduction... 2 Installation... 2 Create a new example workspace... 3

More information

Evaluation of Package Properties for RF BJTs

Evaluation of Package Properties for RF BJTs Application Note Evaluation of Package Properties for RF BJTs Overview EDA simulation software streamlines the development of digital and analog circuits from definition of concept and estimation of required

More information

Front-To-Back MMIC Design Flow with ADS. Speed MMICs to market Save money and achieve high yield

Front-To-Back MMIC Design Flow with ADS. Speed MMICs to market Save money and achieve high yield Front-To-Back MMIC Design Flow with ADS Speed MMICs to market Save money and achieve high yield 1 Unique Tools for Robust Designs, First Pass, and High Yield Yield Sensitivity Histogram (YSH) to components

More information

--- An integrated 3D EM design flow for EM/Circuit Co-Design

--- An integrated 3D EM design flow for EM/Circuit Co-Design ADS users group meeting 2009 Rome 13/05, Böblingen 14-15/05, Massy 16/06 --- An integrated 3D EM design flow for EM/Circuit Co-Design Motivations and drivers for co-design Throw-The-Die-Over-The-Wall,

More information

Figure 1. Inductance

Figure 1. Inductance Tools for On-Chip Interconnect Inductance Extraction Jerry Tallinger OEA International Inc. 155 East Main Ave., Ste. 110 Morgan Hill, CA 95037 jerry@oea.com Haris Basit OEA International Inc. 155 East

More information

Chapter 2. Inductor Design for RFIC Applications

Chapter 2. Inductor Design for RFIC Applications Chapter 2 Inductor Design for RFIC Applications 2.1 Introduction A current carrying conductor generates magnetic field and a changing current generates changing magnetic field. According to Faraday s laws

More information

RF Board Design for Next Generation Wireless Systems

RF Board Design for Next Generation Wireless Systems RF Board Design for Next Generation Wireless Systems Page 1 Introduction Purpose: Provide basic background on emerging WiMax standard Introduce a new tool for Genesys that will aide in the design and verification

More information

ON-CHIP TECHNOLOGY INDEPENDENT 3-D MOD- ELS FOR MILLIMETER-WAVE TRANSMISSION LINES WITH BEND AND GAP DISCONTINUITY

ON-CHIP TECHNOLOGY INDEPENDENT 3-D MOD- ELS FOR MILLIMETER-WAVE TRANSMISSION LINES WITH BEND AND GAP DISCONTINUITY Progress In Electromagnetics Research B, Vol. 22, 171 185, 2010 ON-CHIP TECHNOLOGY INDEPENDENT 3-D MOD- ELS FOR MILLIMETER-WAVE TRANSMISSION LINES WITH BEND AND GAP DISCONTINUITY G. A. Wang, W. Woods,

More information

Innovations in EDA Webcast Series

Innovations in EDA Webcast Series Welcome Innovations in EDA Webcast Series August 2, 2012 Jack Sifri MMIC Design Flow Specialist IC, Laminate, Package Multi-Technology PA Module Design Methodology Realizing the Multi-Technology Vision

More information

CHAPTER 4. Practical Design

CHAPTER 4. Practical Design CHAPTER 4 Practical Design The results in Chapter 3 indicate that the 2-D CCS TL can be used to synthesize a wider range of characteristic impedance, flatten propagation characteristics, and place passive

More information

When Should You Apply 3D Planar EM Simulation?

When Should You Apply 3D Planar EM Simulation? When Should You Apply 3D Planar EM Simulation? Agilent EEsof EDA IMS 2010 MicroApps Andy Howard Agilent Technologies 1 3D planar EM is now much more of a design tool Solves bigger problems and runs faster

More information

Streamlined Design of SiGe Based Power Amplifiers

Streamlined Design of SiGe Based Power Amplifiers ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 13, Number 1, 2010, 22 32 Streamlined Design of SiGe Based Power Amplifiers Mladen BOŽANIĆ1, Saurabh SINHA 1, Alexandru MÜLLER2 1 Department

More information

A Simulation Methodology for Wirebonds Interconnects of Radiofrequency Integrated Circuits

A Simulation Methodology for Wirebonds Interconnects of Radiofrequency Integrated Circuits A Simulation Methodology for Wirebonds Interconnects of Radiofrequency Integrated Circuits Hercílio M. Cavalcanti 1 and Leandro T. Manera 2 1 Hercílio M. Cavalcanti, CTI Renato Archer, Campinas, São Paulo,

More information

ASIC Computer-Aided Design Flow ELEC 5250/6250

ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Design Flow ASIC Design Flow DFT/BIST & ATPG Synthesis Behavioral Model VHDL/Verilog Gate-Level Netlist Verify Function Verify Function Front-End Design

More information

Synthesis of Optimal On-Chip Baluns

Synthesis of Optimal On-Chip Baluns Synthesis of Optimal On-Chip Baluns Sharad Kapur, David E. Long and Robert C. Frye Integrand Software, Inc. Berkeley Heights, New Jersey Yu-Chia Chen, Ming-Hsiang Cho, Huai-Wen Chang, Jun-Hong Ou and Bigchoug

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

Fall 2017 Project Proposal

Fall 2017 Project Proposal Fall 2017 Project Proposal (Henry Thai Hoa Nguyen) Big Picture The goal of my research is to enable design automation in the field of radio frequency (RF) integrated communication circuits and systems.

More information

Using GoldenGate to Verify and Improve Your Designs Using Real Signals

Using GoldenGate to Verify and Improve Your Designs Using Real Signals Using GoldenGate to Verify and Improve Your Designs Using Real Signals Enabling more complete understanding of your designs Agilent EEsof EDA 1 Outline What problems do designers face? Main point of this

More information

An Automated Design Flow for Synthesis of Optimal Multi-layer Multi-shape PCB Coils for Inductive Sensing Applications

An Automated Design Flow for Synthesis of Optimal Multi-layer Multi-shape PCB Coils for Inductive Sensing Applications An Automated Design Flow for Synthesis of Optimal Multi-layer Multi-shape PCB Coils for Inductive Sensing Applications Pradeep Kumar Chawda Texas Instruments Inc., 3833 Kifer Rd, Santa Clara, CA E-mail:

More information

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1 Contents 1 FUNDAMENTAL CONCEPTS 1 1.1 What is Noise Coupling 1 1.2 Resistance 3 1.2.1 Resistivity and Resistance 3 1.2.2 Wire Resistance 4 1.2.3 Sheet Resistance 5 1.2.4 Skin Effect 6 1.2.5 Resistance

More information

Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc.

Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc. February 2014 Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc. Low Noise Amplifiers (LNAs) amplify weak signals received by the antenna in communication systems.

More information

Modeling Physical PCB Effects 5&

Modeling Physical PCB Effects 5& Abstract Getting logical designs to meet specifications is the first step in creating a manufacturable design. Getting the physical design to work is the next step. The physical effects of PCB materials,

More information

Flip-Chip for MM-Wave and Broadband Packaging

Flip-Chip for MM-Wave and Broadband Packaging 1 Flip-Chip for MM-Wave and Broadband Packaging Wolfgang Heinrich Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH) Berlin / Germany with contributions by F. J. Schmückle Motivation Growing markets

More information

Packaged mm-wave GaN, GaAs and Si ICs for 5G and automotive radar

Packaged mm-wave GaN, GaAs and Si ICs for 5G and automotive radar Packaged mm-wave GaN, GaAs and Si ICs for 5G and automotive radar Eric Leclerc UMS 1 st Nov 2018 Outline Why heterogenous integration? About UMS Technology portfolio Design tooling: Cadence / GoldenGate

More information

The wireless industry

The wireless industry From May 2007 High Frequency Electronics Copyright Summit Technical Media, LLC RF SiP Design Verification Flow with Quadruple LO Down Converter SiP By HeeSoo Lee and Dean Nicholson Agilent Technologies

More information

MMIC/RFIC Packaging Challenges Webcast (July 28, AM PST 12PM EST)

MMIC/RFIC Packaging Challenges Webcast (July 28, AM PST 12PM EST) MMIC/RFIC Packaging Challenges Webcast ( 9AM PST 12PM EST) Board Package Chip HEESOO LEE Agilent EEsof 3DEM Technical Lead 1 Agenda 1. MMIC/RFIC packaging challenges 2. Design techniques and solutions

More information

Using Analyst TM to Quickly and Accurately Optimize a Chip-Module-Board Transition

Using Analyst TM to Quickly and Accurately Optimize a Chip-Module-Board Transition Using Analyst TM to Quickly and Accurately Optimize a Chip-Module-Board Transition 36 High Frequency Electronics By Dr. John Dunn 3D electromagnetic Optimizing the transition (EM) simulators are commonly

More information

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014 Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application Institute of Microelectronics 22 April 2014 Challenges for HD Fan-Out Electrical Design 15-20 mm 7 mm 6 mm SI/PI with multilayer

More information

Complete RF And Microwave Design Flow with AWR Design Environment. Tabish Khan, AWR Corporation

Complete RF And Microwave Design Flow with AWR Design Environment. Tabish Khan, AWR Corporation Complete RF And Microwave Design Flow with AWR Design Environment Tabish Khan, AWR Corporation Traditional Serial Design Flow Separate tools, user interfaces, netlists and databases System Design Design

More information

Session 4: Mixed Signal RF

Session 4: Mixed Signal RF Sophia Antipolis October 5 th & 6 th 2005 Session 4: Mixed Signal RF Technology, Design and Manufacture of RF SiP Chris Barratt, Michel Beghin, Insight SiP Insight SiP Summary Introduction Definition of

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

RF Circuit Synthesis for Physical Wireless Design

RF Circuit Synthesis for Physical Wireless Design RF Circuit Synthesis for Physical Wireless Design Overview Subjects Review Of Common Design Tasks Break Down And Dissect Design Task Review Non-Synthesis Methods Show A Better Way To Solve Complex Design

More information

Muehlhaus RFIC Inductor Toolkit Generic Version

Muehlhaus RFIC Inductor Toolkit Generic Version Muehlhaus RFIC Inductor Toolkit Generic Version Inductor Synthesis for Keysight ADS // Inductor model file simulator lang=spectre subckt OctaDiffStacked_2n0_N2_w18_s2_d332 1 2 3 SRL1R (1 100) resistor

More information

F O U N D R Y L E A D E R S H I P F O R T H E S o C G E N E R A T I O N. Mixed-Signal/RFCMOS

F O U N D R Y L E A D E R S H I P F O R T H E S o C G E N E R A T I O N.   Mixed-Signal/RFCMOS F O U N D R Y L E A D E R S H I P F O R T H E S o C G E N E R A T I O N www.umc.com Mixed-Signal/RFCMOS Solutions for Mixed-Signal/RFCMOS Applications Mixed-Signal and RFCMOS applications have become major

More information

Methodology for MMIC Layout Design

Methodology for MMIC Layout Design 17 Methodology for MMIC Layout Design Fatima Salete Correra 1 and Eduardo Amato Tolezani 2, 1 Laboratório de Microeletrônica da USP, Av. Prof. Luciano Gualberto, tr. 3, n.158, CEP 05508-970, São Paulo,

More information

Review of ASITIC (Analysis and Simulation of Inductors and Transformers for Integrated Circuits) Tool to Design Inductor on Chip

Review of ASITIC (Analysis and Simulation of Inductors and Transformers for Integrated Circuits) Tool to Design Inductor on Chip www.ijcsi.org 196 Review of ASITIC (Analysis and Simulation of Inductors and Transformers for Integrated Circuits) Tool to Design Inductor on Chip M. Zamin Ali Khan 1, Hussain Saleem 2 and Shiraz Afzal

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

300 frequencies is calculated from electromagnetic analysis at only four frequencies. This entire analysis takes only four minutes.

300 frequencies is calculated from electromagnetic analysis at only four frequencies. This entire analysis takes only four minutes. Electromagnetic Analysis Speeds RFID Design By Dr. James C. Rautio Sonnet Software, Inc. Liverpool, NY 13088 (315) 453-3096 info@sonnetusa.com http://www.sonnetusa.com Published in Microwaves & RF, February

More information

24 GHz ISM Band Silicon RF IC Capability

24 GHz ISM Band Silicon RF IC Capability Cobham Electronic Systems Sensor Systems Lowell, MA USA www.cobham.com June 14, 2012 Steve.Fetter@cobham.com The most important thing we build is trust 24 GHz ISM Band Silicon RF IC Capability This data

More information

LUCEDA PHOTONICS DELIVERS A SILICON PHOTONICS IC SOLUTION IN TANNER L-EDIT

LUCEDA PHOTONICS DELIVERS A SILICON PHOTONICS IC SOLUTION IN TANNER L-EDIT LUCEDA PHOTONICS DELIVERS A SILICON PHOTONICS IC SOLUTION IN TANNER L-EDIT WIM BOGAERTS, PIETER DUMON, AND MARTIN FIERS, LUCEDA PHOTONICS JEFF MILLER, MENTOR GRAPHICS A M S D E S I G N & V E R I F I C

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

DESIGN OF ZIGBEE RF FRONT END IC IN 2.4 GHz ISM BAND

DESIGN OF ZIGBEE RF FRONT END IC IN 2.4 GHz ISM BAND DESIGN OF ZIGBEE RF FRONT END IC IN 2.4 GHz ISM BAND SUCHITAV KHADANGA RFIC TECHNOLOGIES, BANGALORE, INDIA http://www.rficdesign.com Team-RV COLLEGE Ashray V K D V Raghu Sanjith P Hemagiri Rahul Verma

More information

Design and Analysis of Novel Compact Inductor Resonator Filter

Design and Analysis of Novel Compact Inductor Resonator Filter Design and Analysis of Novel Compact Inductor Resonator Filter Gye-An Lee 1, Mohamed Megahed 2, and Franco De Flaviis 1. 1 Department of Electrical and Computer Engineering University of California, Irvine

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

High-Performance Electronic Design: Predicting Electromagnetic Interference

High-Performance Electronic Design: Predicting Electromagnetic Interference White Paper High-Performance Electronic Design: In designing electronics in today s highly competitive markets, meeting requirements for electromagnetic compatibility (EMC) presents a major risk factor,

More information

22. VLSI in Communications

22. VLSI in Communications 22. VLSI in Communications State-of-the-art RF Design, Communications and DSP Algorithms Design VLSI Design Isolated goals results in: - higher implementation costs - long transition time between system

More information

Modeling & Simulating Antenna Arrays and RF Beamforming Algorithms Giorgia Zucchelli Product Marketing MathWorks

Modeling & Simulating Antenna Arrays and RF Beamforming Algorithms Giorgia Zucchelli Product Marketing MathWorks Modeling & Simulating Antenna Arrays and RF Beamforming Algorithms Giorgia Zucchelli Product Marketing MathWorks giorgia.zucchelli@mathworks.nl 2016 The MathWorks, Inc. 1 Agenda Introducing antenna design

More information

Technology Overview. MM-Wave SiGe IC Design

Technology Overview. MM-Wave SiGe IC Design Sheet Code RFi0606 Technology Overview MM-Wave SiGe IC Design Increasing consumer demand for high data-rate wireless applications has resulted in development activity to exploit the mm-wave frequency range

More information

A Fundamental Approach for Design and Optimization of a Spiral Inductor

A Fundamental Approach for Design and Optimization of a Spiral Inductor Journal of Electrical Engineering 6 (2018) 256-260 doi: 10.17265/2328-2223/2018.05.002 D DAVID PUBLISHING A Fundamental Approach for Design and Optimization of a Spiral Inductor Frederick Ray I. Gomez

More information

Gain Slope issues in Microwave modules?

Gain Slope issues in Microwave modules? Gain Slope issues in Microwave modules? Physical constraints for broadband operation If you are a microwave hardware engineer you most likely have had a few sobering experiences when you test your new

More information

AN4819 Application note

AN4819 Application note Application note PCB design guidelines for the BlueNRG-1 device Introduction The BlueNRG1 is a very low power Bluetooth low energy (BLE) single-mode system-on-chip compliant with Bluetooth specification

More information

Getting to Work with OpenPiton. Princeton University. OpenPit

Getting to Work with OpenPiton. Princeton University.   OpenPit Getting to Work with OpenPiton Princeton University http://openpiton.org OpenPit ASIC SYNTHESIS AND BACKEND 2 Whats in the Box? Synthesis Synopsys Design Compiler Static timing analysis (STA) Synopsys

More information

Introduction: Planar Transmission Lines

Introduction: Planar Transmission Lines Chapter-1 Introduction: Planar Transmission Lines 1.1 Overview Microwave integrated circuit (MIC) techniques represent an extension of integrated circuit technology to microwave frequencies. Since four

More information

Evaluating and Optimizing Tradeoffs in CMOS RFIC Upconversion Mixer Design. by Dr. Stephen Long University of California, Santa Barbara

Evaluating and Optimizing Tradeoffs in CMOS RFIC Upconversion Mixer Design. by Dr. Stephen Long University of California, Santa Barbara Evaluating and Optimizing Tradeoffs in CMOS RFIC Upconversion Mixer Design by Dr. Stephen Long University of California, Santa Barbara It is not easy to design an RFIC mixer. Different, sometimes conflicting,

More information

Signal Integrity Modeling and Simulation for IC/Package Co-Design

Signal Integrity Modeling and Simulation for IC/Package Co-Design Signal Integrity Modeling and Simulation for IC/Package Co-Design Ching-Chao Huang Optimal Corp. October 24, 2004 Why IC and package co-design? The same IC in different packages may not work Package is

More information

INSIGHT SiP. RF System in Package, design methodology and practical examples of highly integrated systems

INSIGHT SiP. RF System in Package, design methodology and practical examples of highly integrated systems INSIGHT SiP RF System in Package, design methodology and practical examples of highly integrated systems Chris Barratt Insight SiP Sophia Antipolis France 1 RF SiP Technologies PRD Design Methodology Initial

More information

An Equivalent Circuit Model for On-chip Inductors with Gradual Changed Structure

An Equivalent Circuit Model for On-chip Inductors with Gradual Changed Structure An Equivalent Circuit Model for On-chip Inductors with Gradual Changed Structure Xi Li 1, Zheng Ren 2, Yanling Shi 1 1 East China Normal University Shanghai 200241 People s Republic of China 2 Shanghai

More information

APPLICATION NOTE 052. A Design Flow for Rapid and Accurate Filter Prototyping

APPLICATION NOTE 052. A Design Flow for Rapid and Accurate Filter Prototyping APPLICATION NOTE 052 A Design Flow for Rapid and Accurate Filter Prototyping Introduction Filter designers for RF/microwave requirements are challenged with meeting an often-conflicting set of performance

More information

A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation

A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation Francesco Carrara 1, Calogero D. Presti 2,1, Fausto Pappalardo 1, and Giuseppe

More information

EMC simulation addresses ECU validation issues

EMC simulation addresses ECU validation issues EMC simulation addresses ECU validation issues A more straightforward validation of electromagnetic compatibility can be achieved by combining tools. By Stefan Heimburger, Andreas Barchanski, and Thorsten

More information

Using Accurate Component Models to Achieve First-Pass Success in Filter Design

Using Accurate Component Models to Achieve First-Pass Success in Filter Design Application Example Using Accurate Component Models to Achieve First-Pass Success in Filter Design Overview Utilizing models that include component and printed circuit board (PCB) parasitics in place of

More information

Experiences and Benefits of 16nm and 10nm FinFET Development

Experiences and Benefits of 16nm and 10nm FinFET Development Experiences and Benefits of 16nm and 10nm FinFET Development Jeff Galloway, Paweł Banachowicz, Michael Kroger, Brian Eplett, Andrew Cole, Randy Caplan Silicon Creations Process Experience Silicon Creations

More information

Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields

Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields James C. Rautio, James D. Merrill, and Michael J. Kobasa Sonnet Software, North Syracuse, NY, 13212, USA Abstract Patterned

More information

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM 28nm and below: New Frontiers and Innovations in Design for Manufacturing Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM Outline Challenges Variability and the Limits of IC Geometrical Scaling Methodology

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2016 Khanna Adapted from GATech ESE3060 Slides Lecture

More information

Archive 2017 BiTS Workshop- Image: Easyturn/iStock

Archive 2017 BiTS Workshop- Image: Easyturn/iStock Archive September 6-7, 2017 InterContinental Shanghai Pudong Hotel - Shanghai, China Archive 2017 BiTS Workshop- Image: Easyturn/iStock September 6-7, 2017 Archive COPYRIGHT NOTICE This multimedia file

More information

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design Chapter 6 Case Study: 2.4-GHz Direct Conversion Receiver The chapter presents a 0.25-µm CMOS receiver front-end designed for 2.4-GHz direct conversion RF transceiver and demonstrates the necessity and

More information

Stephen Plumb National Instruments

Stephen Plumb National Instruments RF and Microwave Test and Design Roadshow Cape Town and Midrand October 2014 Stephen Plumb National Instruments Our Mission We equip engineers and scientists with tools that accelerate productivity, innovation,

More information

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces DesignCon 2010 On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces Ralf Schmitt, Rambus Inc. [Email: rschmitt@rambus.com] Hai Lan, Rambus Inc. Ling Yang, Rambus Inc. Abstract

More information

DESIGN AND ANALYSIS OF SYMMETRICAL SPIRAL INDUCTORS FOR RFIC

DESIGN AND ANALYSIS OF SYMMETRICAL SPIRAL INDUCTORS FOR RFIC ELECTRONICS September, Sozopol, BULGARIA DESIGN AND ANALYSIS OF SYMMETRICAL SPIRAL INDUCTORS FOR RFIC Ivan V. Petkov, Diana I. Pukneva, Marin. ristov ECAD Laboratory, FETT, Technical University of Sofia,

More information

NI AWR Software AWR. ni.com/awr. ni.com/awr. Product Portfolio

NI AWR Software AWR. ni.com/awr. ni.com/awr. Product Portfolio NI AWR Software Product Portfolio AWR ni.com/awr ni.com/awr NI AWR Software Wireless Design: Trends and Challenges Next-generation wireless devices, communications infrastructure, and aerospace/defense

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support www.ozeninc.com info@ozeninc.com (408) 732 4665 1210 E Arques Ave St 207 Sunnyvale, CA 94085 Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training &

More information

A Top-Down Microsystems Design Methodology and Associated Challenges

A Top-Down Microsystems Design Methodology and Associated Challenges A Top-Down Microsystems Design Methodology and Associated Challenges Michael S. McCorquodale, Fadi H. Gebara, Keith L. Kraver, Eric D. Marsman, Robert M. Senger, and Richard B. Brown Department of Electrical

More information

Performance Enhancement For Spiral Indcutors, Design And Modeling

Performance Enhancement For Spiral Indcutors, Design And Modeling Performance Enhancement For Spiral Indcutors, Design And Modeling Mohammad Hossein Nemati 16311 Sabanci University Final Report for Semiconductor Process course Introduction: How to practically improve

More information

Single-Objective Optimization Methodology for the Design of RF Integrated Inductors

Single-Objective Optimization Methodology for the Design of RF Integrated Inductors Single-Objective Optimization Methodology for the Design of RF Integrated Inductors Fábio Passos 1, Maria Helena Fino 1, and Elisenda Roca 2 1 Faculdade de Ciências e Tecnologia, Universidade Nova de Lisboa

More information

Optimal design methodology for RF SiP - from project inception to volume manufacturing

Optimal design methodology for RF SiP - from project inception to volume manufacturing Optimal design methodology for RF SiP - from project inception to volume manufacturing Chris Barratt Insight SiP 905 rue Albert Einstein Valbonne France 06560 Outline RF SiP Technologies Design Methodology

More information

AWR. imatch White Paper. Overview. Intelligent & Automated Impedance Matching Module

AWR. imatch White Paper. Overview. Intelligent & Automated Impedance Matching Module Overview One of the most common tasks required of an RF engineer is basic impedance matching. AWR s Microwave Office software has included this ability for a long time now via a manual step through matching

More information

High Rejection BPF for WiMAX Applications from Silicon Integrated Passive Device Technology

High Rejection BPF for WiMAX Applications from Silicon Integrated Passive Device Technology High Rejection BPF for WiMAX Applications from Silicon Integrated Passive Device Technology by Kai Liu, Robert C Frye* and Billy Ahn STATS ChipPAC, Inc, Tempe AZ, 85284, USA, *RF Design Consulting, LLC,

More information

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University By: K. Tripurari, C. W. Hsu, J. Kuppambatti, B. Vigraham, P.R. Kinget Columbia University For

More information

High temperature superconducting slot array antenna connected with low noise amplifier

High temperature superconducting slot array antenna connected with low noise amplifier 78 High temperature superconducting slot array antenna connected with low noise amplifier H. Kanaya, G. Urakawa, Y. Tsutsumi, T. Nakamura and K. Yoshida Department of Electronics, Graduate School of Information

More information

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver Arvin R. Shahani, Derek K. Shaeffer, Thomas H. Lee Stanford University, Stanford, CA At submicron channel lengths, CMOS is

More information

Today I would like to present a short introduction to microstrip cross-coupled filter design. I will be using Sonnet em to analyze my planar circuit.

Today I would like to present a short introduction to microstrip cross-coupled filter design. I will be using Sonnet em to analyze my planar circuit. Today I would like to present a short introduction to microstrip cross-coupled filter design. I will be using Sonnet em to analyze my planar circuit. And I will be using our optimizer, EQR_OPT_MWO, in

More information

FinFET SPICE Modeling

FinFET SPICE Modeling FinFET SPICE Modeling Synopsys Solutions to Simulation Challenges of Advanced Technology Nodes Joddy Wang December 9, 2015 Outline SPICE Model for IC Design FinFET Modeling Challenges Solutions Summary

More information

Optimization of Symmetric Spiral Inductors On Silicon Substrate

Optimization of Symmetric Spiral Inductors On Silicon Substrate Optimization of Symmetric Spiral Inductors On Silicon Substrate Hyunjin Lee, Joonho Gil, and Hyungcheol Shin Department of Electrical Engineering and Computer Science, KAIST -1, Guseong-dong, Yuseong-gu,

More information

OPTIMIZED FRACTAL INDUCTOR FOR RF APPLICATIONS

OPTIMIZED FRACTAL INDUCTOR FOR RF APPLICATIONS OPTIMIZED FRACTAL INDUCTOR FOR RF APPLICATIONS B. V. N. S. M. Nagesh Deevi and N. Bheema Rao 1 Department of Electronics and Communication Engineering, NIT-Warangal, India 2 Department of Electronics and

More information

Design, Optimization and Production of an Ultra-Wideband (UWB) Receiver

Design, Optimization and Production of an Ultra-Wideband (UWB) Receiver Application Note Design, Optimization and Production of an Ultra-Wideband (UWB) Receiver Overview This application note describes the design process for an ultra-wideband (UWB) receiver, including both

More information

The Infinity Probe for On-Wafer Device Characterization and Modeling to 110 GHz

The Infinity Probe for On-Wafer Device Characterization and Modeling to 110 GHz Q & A Innovating Test Technologies The Infinity Probe for On-Wafer Device Characterization and Modeling to 110 GHz Why is this announcement important? INFINITY-QA-1102 Data subject to change without notice

More information

Overview and Challenges

Overview and Challenges RF/RF-SoC Overview and Challenges Fang Chen May 14, 2004 1 Content What is RF Research Topics in RF RF IC Design/Verification RF IC System Design Circuit Implementation What is RF-SoC Design Methodology

More information

Accurate Simulation of RF Designs Requires Consistent Modeling Techniques

Accurate Simulation of RF Designs Requires Consistent Modeling Techniques From September 2002 High Frequency Electronics Copyright 2002, Summit Technical Media, LLC Accurate Simulation of RF Designs Requires Consistent Modeling Techniques By V. Cojocaru, TDK Electronics Ireland

More information

Anaren 0805 (B0809J50ATI) balun optimized for Texas Instruments CC1100/CC1101 Transceiver

Anaren 0805 (B0809J50ATI) balun optimized for Texas Instruments CC1100/CC1101 Transceiver (ANN-2005) Rev B Page 1 of 13 Anaren 0805 (B0809J50ATI) balun optimized for Texas Instruments CC1100/CC1101 Transceiver Trong N Duong RF Co-Op Nithya R Subramanian RF Engineer Introduction The tradeoff

More information

Design and Layout of a X-Band MMIC Power Amplifier in a Phemt Technology

Design and Layout of a X-Band MMIC Power Amplifier in a Phemt Technology Design and Layout of a X-Band MMIC Power Amplifier in a Phemt Technology Renbin Dai, and Rana Arslan Ali Khan Abstract The design of Class A and Class AB 2-stage X band Power Amplifier is described in

More information

57-65GHz CMOS Power Amplifier Using Transformer-Coupling and Artificial Dielectric for Compact Design

57-65GHz CMOS Power Amplifier Using Transformer-Coupling and Artificial Dielectric for Compact Design 57-65GHz CMOS Power Amplifier Using Transformer-Coupling and Artificial Dielectric for Compact Design Tim LaRocca, and Frank Chang PA Symposium 1/20/09 Overview Introduction Design Overview Differential

More information