Oct 30 Announcements. Bonus marked will be posted today Will provide 270 style feedback on multiple-choice questions. [3.E]-1

Size: px
Start display at page:

Download "Oct 30 Announcements. Bonus marked will be posted today Will provide 270 style feedback on multiple-choice questions. [3.E]-1"

Transcription

1 Oct 30 Announcements Code Marked and on Blackboard This week: Mon 2:30 to 3:00pm, Tues 2:30 to 3:30 and W-F 1:30 to 3:00pm opportunity to talk about code: earn 2 extra points on the coding part Bonus marked will be posted today Will provide 270 style feedback on multiple-choice questions. [3.E]-1

2 Module 3.E Pulse Width Modulation (PWM) Tim Rogers 2017

3 Learning Outcome #3 An ability to effectively utilize the wide variety of peripherals integrated into a contemporary microcontroller How? A: Clocks and Real Time Interrupt (RTI) B: Analog-to-Digital Converter (ATD) C: Serial Peripheral Interface (SPI) D: Timer Module (TIM) E: Pulse Width Modulation (PWM) F: Serial Communications Interface (SCI) [3.E]-3

4 PWM Function Relatively simple Outputs a digital waveform (i.e. either 0 or 1), with a specific frequency and duty cycle. All of these waves have the same frequency. PWM allows you to program both duty cycle and frequency [3.E]-4

5 PWM applications D.C Motor speed control LED backlight intensity Useful for varying power delivery in digital systems Digital-to-analog conversion (w/ low-pass filter) [3.E]-5

6 PWM encoding an analog signal Referred to as natural sampling which is different than pulsecode modulation (PCM) Can be thought of as a one-bit A/D encoding system. The sampling frequency must be at least an order of magnitude higher than the highest frequency component of the input signal. The PWM output can be low-pass filtered to re-construct the analog signal. [3.E]-6

7 PWM Encoding + Signal Reconstruction low-pass filter [3.E]-7

8 In lab You will sample a signal with the ATD. Then use the PWM + low-pass filter to reconstruct the signal. This analog signal creation is how audio signals typically get created in mini-projects [3.E]-8

9 9S12C PWM Features 6 independent channels with programmable period and duty cycle 8-bit resolution (6-channel mode) or 16-bit resolution (3-channel mode) Flexible clock generation wide range of sampling frequencies Period and duty cycle registers are double-buffered (allows immediate PWM update) Output pulse polarity and alignment are programmable Emergency shutdown capability [3.E]-9

10 Double Buffer One example: Video games Once screen is fully drawn, kick to display Back Buffer Visible Display Buffer CPU/GPUs generate new frames by clearing screen, then redrawing [3.E]-10

11 Double Buffer PWM Example Kick new period/duty after current period ends What if you are midperiod when you update the duty register? Back period register Period register Back duty register Duty register CPU Writes the new duty/period to the back register [3.E]-11

12 Double Buffer PWM Example Kick new period/duty after current period ends What if you are midperiod when you update the duty register? Back period register Period register Back duty register Duty register [3.E]-12

13 PWM Module User Guide B6CV1.pdf [3.E]-13

14 PWM Block Diagram [3.E]-14

15 Key Registers Enable bit per channel. 0=off 1=on Polarity bit per channel. 0=Active low 1=Active high [3.E]-15

16 Key Registers One 8-bit PWMPER/Channel. PWM cycles in one period. One 8-bit PWMDTY/Channel PWM cycles in asserted (i.e. low if PPOL=0, high if PPOL=1) [3.E]-16

17 PWM Boundary Conditions 17

18 Polarity Select and Duty Cycle Duty Cycle (%) = 100 * (PWMDTY)/(PWMPER) Sampling Frequency = (Input Clock)/(PWMPER) Left Aligned Mode (default) 18

19 PWM Clock Selection Diagram 19

20 PWM Clock Selection Diagram Pre-scale divisor range is 1 to 128 Clock source is the BUS CLOCK 20

21 PWM Registers l PWMPRCLK (prescale clock select) PCKB2- PCKB0 (bits 6-4) Clock B prescaler PCKA2-PCKA0 (bits 2-0) Clock A prescaler 000 bus clock 001 bus clock/2 010 bus clock/4 011 bus clock/8 100 bus clock/ bus clock/ bus clock/ bus clock/128 indicates default mode after RESET 21

22 Clock A is the pre-scaled bus clock, and Clock SA is the scaled Clock A In general: N = D/2 where N is the value to load in PWSCALA for clock divisor D Note that the range of SA is from A/2 to A/512 PWM Channels 0, 1, 4, and 5 can select either Clock A or Clock SA 22

23 PWM Registers l PWMCLK (clock source select) PCLK5 (bit 5) channel 5 clock select 0 use Clock A 1 use Clock SA PCLK4 (bit 4) channel 4 clock select 0 use Clock A 1 use Clock SA indicates default mode after RESET 23

24 PWM Registers l PWMCLK (clock source select) PCLK1 (bit 1) channel 1 clock select 0 use Clock A 1 use Clock SA PCLK0 (bit 0) channel 0 clock select 0 use Clock A 1 use Clock SA indicates default mode after RESET 24

25 PWM Registers l PWMSCLA (Scale A Register) 8-bit programmable scale value for Clock A Clock SA = Clock A / (2 * PWMSCLA) $00 is used to represent

26 Clock B is the pre-scaled bus clock, and Clock SB is the scaled Clock B In general: N = D/2 where N is the value to load in PWSCALB for clock divisor D Note that the range of SB is from B/2 to B/512 PWM Channels 2 and 3 can select either Clock B or Clock SB 26

27 PWM Registers l PWMCLK (clock source select) PCLK3 (bit 3) channel 3 clock select 0 use Clock B 1 use Clock SB PCLK2 (bit 2) channel 2 clock select 0 use Clock B 1 use Clock SB indicates default mode after RESET 27

28 PWM Registers l PWMSCLB (Scale B Register) 8-bit programmable scale value for Clock B Clock SB = Clock B / (2 * PWMSCLB) $00 used to represent

29 16-bit PWM Mode In 16-bit ( concatenated ) mode, the lower numbered channel is the high byte 29

30 PWM Registers l PWMCTL (PWM control) CON45 (bit 6) concatenate chs 4 & 5 0 channels 4 & 5 separate 8-bit 1 channels 4 & 5 concatenated 16-bit CON23 (bit 5) concatenate chs 2 & 3 0 channels 2 & 3 separate 8-bit 1 channels 2 & 3 concatenated 16-bit CON01 (bit 4) concatenate chs 0 & 1 0 channels 0 & 1 separate 8-bit 1 channels 0 & 1 concatenated 16-bit indicates default mode after RESET 30

31 PWM Registers l PWMCAE (center align enable) CAEx (bit x) center align channel x 0 operate ch x in left-aligned mode 1 operate ch x in center-aligned mode Note: The center-aligned mode is useful for asynchronous motor control (e.g., for brushless DC motors) indicates default mode after RESET 31

32 Center-Aligned Mode Duty Cycle (%) = 100 * (PWMDTY)/(PWMPER) Sampling Frequency = (Input Clock)/(2* PWMPER) 32

33 PWM Registers l Port P (data register) bits 0-5 used for PWM output channels 0-5 PWM takes precedence over generalpurpose I/O when enabled l DDRP (data direction register) used to establish data direction of Port P bits when used for general-purpose I/O 33

34 1. Useful applications of the PWM include: A. D.C. motor speed control B. digital-to-analog conversion C. controlling the intensity of an LED D. all of the above E. none of the above 34

35 2. The double buffering feature of the PWM unit: A. prevents a PWM output from changing the instant the period or duty register is written B. provides a larger window of time during which the PWM registers can be written C. provides a larger window of time during which the PWM registers can be read D. all of the above E. none of the above 35

36 3. Given a 24 MHz bus clock, in 8-bit left-aligned mode the minimum frequency 50% duty cycle square wave that can be generated by the PWM unit is approximately: A Hz B Hz C Hz D Hz E. none of the above 36

37 4. Given a 24 MHz bus clock, in 8-bit left-aligned mode the maximum frequency 50% duty cycle square wave that can be generated by the PWM unit is: A. 12,000 Hz B. 120,000 Hz C. 1,200,000 Hz D. 12,000,000 Hz E. 24,000,000 Hz 37

38 5. Given a 24 MHz system clock with PWMPRCLK = and PWMCLK = , the following combination of PWM register initializations will produce a 100 Hz (approx.), 50% duty cycle square wave on Channel 2 (assuming PWMPOL = and PWMEN = ): A. PWSCALB = 93 10, PMWPER2 = 20 10, PMWDTY2 = B. PWSCALB = , PWMPER2 = 20 10, PWMDTY2 = C. PWSCALB = 50 10, PWMPER2 = , PWMDTY2 = D. PWSCALB = 49 10, PWMPER2 = , PWMDTY2 = E. none of the above 38

39 PWM Initialization Example Assume the bus clock is 24 MHz and that the PWM is used to generate four left-aligned active high square wave signals: l Ch 0 120,000 Hz 10% duty cycle l Ch 1 20,000 Hz 30% duty cycle l Ch 2 7,500 Hz 70% duty cycle l Ch Hz 85% duty cycle Determine the register initializations required to generate these four waveforms 39

40 PWM Initialization Example For Ch 0 (and Ch 1), need PWMPER = 100 to have a resolution of 1% in specifying the duty cycle need input clock frequency of at least 120,000 * 100 = 12 MHz (conveniently, this is half the 9S212C32 bus clock freq.) The scale register for Clock A can be used to produce the input clock for Ch 1, which is 20,000 * 100 = 2 MHz Clock SA = (Clock A)/6 PWSCALA = 3 40

41 PWM Initialization Example l PWME = $0F (enables PWM Chs 0-3) l PWMPER0 (ch 0 period) = 100 l PWMPER1 (ch 1 period) = 100 Will allow l PWMPER2 (ch 2 period) = 100 resolution of 1% l PWMPER3 (ch 3 period) = 100 the number of clock ticks that constitute one complete period of the PWM signal Leftaligned mode

42 PWM Initialization Example l PWMPOL = $0F (Chs 0-3 active high polarity) l PWMDTY0 (Ch 0 duty cycle) = 10 (10%) l PWMDTY1 (Ch 1 duty cycle) = 30 (30%) l PWMDTY2 (Ch 2 duty cycle) = 70 (70%) l PWMDTY3 (Ch 3 duty cycle) = 85 (85%) the number of clock ticks the PWM signal is asserted low (PPOL=0) or high (PPOL=1) Leftaligned mode

43 12 MHz PWM Clock Selection Diagram 24 MHz 3 2 MHz 43

44 PWM Initialization Example For Ch 2 (and Ch 3), again need PWMPER = 100 to have a resolution of 1% in specifying the duty cycle need input clock frequency of at least 7,500 * 100 = 750 KHz = 24 MHz / 32 The scale register for Clock B can be used to produce the input clock for Ch 3, which is 250 * 100 = 25 KHz Clock SB = (Clock B) / 30 PWSCALB = 15 44

45 12 MHz PWM Clock Selection Diagram 24 MHz MHz 750 KHz 25 KHz 45

46 PWM Clock Selection Diagram 24 MHz 12 MHz 2 MHz KHz 25 KHz 15 PWMPRCLK = x101 x001 46

47 PWM Clock Selection Diagram 24 MHz 12 MHz 2 MHz KHz 25 KHz 15 PWMCLK = xxxx

48 PWM Applications/Interfaces l Simple D/A converter (single pole LPF) PWM Port Pin A higher order (active) LPF can also be used the OP AMP provides isolation and additional output drive capability (not necessary if output load is high impedance) 48

49 PWM Applications/Interfaces l Driving a small loudspeaker VCC PWM Port Pin The loudspeaker will mechanically lowpass filter the PWM signal note that an arc suppression diode is needed because the loudspeaker voice coil is an inductive load 49

50 PWM Applications/Interfaces l Motor speed and direction control using an H -bridge (here, a pair of half-h bridges) Output Port Pin PWM Port Pin 50

51 H Bridge V+ V+ motor V- V- 51

52 H Bridge V+ V+ + - motor V- V- 52

53 H Bridge V+ V+ - + motor V- V- 53

54 ;************************************ ; Analyze the following code ;************************************ ; ; initialize PWM Ch 0 movb #$01,MODRR ; PT0 used as PWM Ch 0 output movb #$01,PWME ; enable PWM Ch 0 movb #$01,PWMPOL ; set active high polarity E. none of the above movb #$00,PWMCTL ; no concatenate (8-bit) movb #$00,PWMCAE ; left-aligned output mode movb #$FF,PWMPER0 ; set maximum 8-bit period (255) movb #$7F,PWMDTY0 ; set 50% duty cycle movb #$07,PWMPRCLK ; set Clock A = 24 MHz / 128 (max pre-scalar) movb #$01,PWMCLK ; select Clock SA for Ch 0 movb #$00,PWMSCLA ; set Clock SA scalar to 512 (max scalar) ; initialize TIM Ch 1 ASM version movb #$80,tscr1 ; enable TC1 movb #$07,tscr2 ; set TIM pre-scale factor to 128 (max) movb #$02,tios ; set TIM TC1 for Output Compare mode movw #$0004,tctl1 ; toggle PT1 on successful output compare movw #$0000,tc1 ; value for OC1 Increasing The PT1 PT0 the toggle and value PT1 rate loaded toggle (i.e., into rates period, TC1 could (relative in ms) be for made to the its to code be current as identical written value (i.e., will of $0000) exactly be approximately: would: in sync) by: A. A. do 1.36 changing nothing ms the except value change in PWMDTY0 the (initial) to $80 relative B. phase changing ms of the PT0 value and in PWMPER0 PT1 port pin to toggling $00 P B. C. increase changing 696 ms P the PT1 value port in PWMSCLA pin toggle rate to $01 C. D. decrease changing 699 ms P the PT1 value port in TC1 pin toggle $0100 rate D. E. disable none of port the above pin PT1 P from toggling eloop bra eloop ; infinite (do-nothing) loop 54

55 /* Timer Channel 1 initialization */ TSCR2 = 0x07; // set TIM prescale to 128 TIOS = 0x02; // set TIM Channel 1 for output compare mode TCTL2 = 0x04; // toggle PT1 on successful output compare TC1 = 0x0000; // TCNT value that triggers output compare C version /* PWM Channel 0 initialization */ MODRR = 0x01; // route PWM Channel 0 to PT0 PWMCTL = 0x00; // no-concatenate (8-bit mode) PWMPOL = 0x01; // select active high polarity PWMCAE = 0x00; // select left-aligned output PWMPER0 = 0xFF; // maximum period = 255 PWMDTY0 = 0x7F; // approx 50% duty cycle PWMPRCLK = 0x07; // maximum pre-scalar = 128 PWMCLK = 0x01; // select scaled clock PWMSCLA = 0x00; // maximum scale value = 512 /* enable timer and PWM */ TSCR1 = 0x80; PWME = 0x01; // enable TIM (output on PT1) // enable PWM (output on PT0) /* main (infinite) loop */ for(;;) { } 55

The MC9S12 Pulse Width Modulation System. Pulse Width Modulation

The MC9S12 Pulse Width Modulation System. Pulse Width Modulation The MC9S12 Pulse Width Modulation System o Introduction to PWM o Review of the Output Compare Function o Using Output Compare to generate a PWM signal o Registers used to enable the Output Capture Function

More information

EE 308 Spring 2013 The MC9S12 Pulse Width Modulation System

EE 308 Spring 2013 The MC9S12 Pulse Width Modulation System The MC9S12 Pulse Width Modulation System o Introduction to PWM o Review of the Output Compare Function o Using Output Compare to generate a PWM signal o Registers used to enable the Output Capture Function

More information

Pulse Width Modulation

Pulse Width Modulation Pulse Width Modulation Often want to control something by adjusting the percentage of time the object is turned on For example, A DC motor the higher the percentage, the faster the motor goes A light the

More information

The 9S12 Pulse Width Modulation System Huang Sections 8.10 and 8.11 PWM_8B8C Block User Guide

The 9S12 Pulse Width Modulation System Huang Sections 8.10 and 8.11 PWM_8B8C Block User Guide The 9S12 Pulse Width Modulation System Huang Sections 8.10 and 8.11 PWM_8B8C Block User Guide o What is Pulse Width Modulation o The 9S12 Pulse Width Modulation system o Registers used by the PWM system

More information

ME 4447/6405 Pulse Width Modulation (PWM)

ME 4447/6405 Pulse Width Modulation (PWM) ME 4447/6405 Pulse Width Modulation (PWM) Adam Becker Matt Eicholtz Jie Gong Dustin Li 10/28/2008 1 1 Outline Applications Analog vs. Digital Actuation Linear amplifier drawbacks Efficiency Pulse Width

More information

PWM_8B8C. Block User Guide V01.16

PWM_8B8C. Block User Guide V01.16 DOCUMENT NUMBER S12PWM8B8CV1/D PWM_8B8C Block User Guide V01.16 Original Release Date: 12 MAR 1998 Revised: 14 MAR 2002 Motorola Inc. Motorola reserves the right to make changes without further notice

More information

EE 308 Spring Using the HCS12 PWM

EE 308 Spring Using the HCS12 PWM Using the HCS12 PWM 1. Choose 8-bit mode (PWMCTL = x) 2. Choose high polarity (PWMPOL = xff) 3. Choose left-aligned (PWMCAE = x) 4. Select clock mode in PWMCLK: PCLKn = for 2 N, PCLKn = 1 for 2 (N+1) M,

More information

Select the single most appropriate response for each question.

Select the single most appropriate response for each question. ECE 362 Final Lab Practical - 1 - Practice Exam / Solution PART 1: Multiple Choice Select the single most appropriate response for each question. Note that none of the above MAY be a VALID ANSWER. (Solution

More information

CS/ECE 6780/5780. Al Davis. Today s topics: Output capture Pulse Width Modulation Pulse Accumulation all useful options for Lab7 1 CS 5780

CS/ECE 6780/5780. Al Davis. Today s topics: Output capture Pulse Width Modulation Pulse Accumulation all useful options for Lab7 1 CS 5780 CS/ECE 6780/5780 Al Davis Today s topics: Output capture Pulse Width Modulation Pulse Accumulation all useful options for Lab7 1 CS 5780 Output Compare Basic output control create square waves» including

More information

PWM System. Microcomputer Architecture and Interfacing Colorado School of Mines Professor William Hoff

PWM System. Microcomputer Architecture and Interfacing Colorado School of Mines Professor William Hoff PWM System 1 Pulse Width Modulation (PWM) Pulses are continuously generated which have different widths but the same period between leading edges Duty cycle (% high) controls the average analog voltage

More information

ECE 4510/5530 Microcontroller Applications Midterm Review

ECE 4510/5530 Microcontroller Applications Midterm Review Microcontroller Applications Midterm Review Dr. Bradley J. Bazuin Associate Professor Department of Electrical and Computer Engineering College of Engineering and Applied Sciences Exam Composition HC12

More information

ECE 4510/5530 Microcontroller Applications Chapter 8 ECT and PWM

ECE 4510/5530 Microcontroller Applications Chapter 8 ECT and PWM Microcontroller Applications Chapter 8 ECT and PWM Dr. Bradley J. Bazuin Associate Professor Department of Electrical and Computer Engineering College of Engineering and Applied Sciences Chapter 8 Enhanced

More information

LM4: The timer unit of the MC9S12DP256B/C

LM4: The timer unit of the MC9S12DP256B/C Objectives - To explore the Enhanced Capture Timer unit (ECT) of the MC9S12DP256B/C - To program a real-time clock signal with a fixed period and display it using the onboard LEDs (flashing light) - To

More information

Timing System. Timing & PWM System. Timing System components. Usage of Timing System

Timing System. Timing & PWM System. Timing System components. Usage of Timing System Timing & PWM System Timing System Valvano s chapter 6 TIM Block User Guide, Chapter 15 PWM Block User Guide, Chapter 12 1 2 Timing System components Usage of Timing System 3 Counting mechanisms Input time

More information

Hardware Flags. and the RTI system. Microcomputer Architecture and Interfacing Colorado School of Mines Professor William Hoff

Hardware Flags. and the RTI system. Microcomputer Architecture and Interfacing Colorado School of Mines Professor William Hoff Hardware Flags and the RTI system 1 Need for hardware flag Often a microcontroller needs to test whether some event has occurred, and then take an action For example A sensor outputs a pulse when a model

More information

EEL 4744C: Microprocessor Applications Lecture 8 Timer Dr. Tao Li

EEL 4744C: Microprocessor Applications Lecture 8 Timer Dr. Tao Li EEL 4744C: Microprocessor Applications Lecture 8 Timer Reading Assignment Software and Hardware Engineering (new version): Chapter 14 SHE (old version): Chapter 10 HC12 Data Sheet: Chapters 12, 13, 11,

More information

Reading Assignment. Timer. Introduction. Timer Overview. Programming HC12 Timer. An Overview of HC12 Timer. EEL 4744C: Microprocessor Applications

Reading Assignment. Timer. Introduction. Timer Overview. Programming HC12 Timer. An Overview of HC12 Timer. EEL 4744C: Microprocessor Applications Reading Assignment EEL 4744C: Microprocessor Applications Lecture 8 Timer Software and Hardware Engineering (new version): Chapter 4 SHE (old version): Chapter 0 HC Data Sheet: Chapters,,, 0 Introduction

More information

Grundlagen Microcontroller Counter/Timer. Günther Gridling Bettina Weiss

Grundlagen Microcontroller Counter/Timer. Günther Gridling Bettina Weiss Grundlagen Microcontroller Counter/Timer Günther Gridling Bettina Weiss 1 Counter/Timer Lecture Overview Counter Timer Prescaler Input Capture Output Compare PWM 2 important feature of microcontroller

More information

Lecture 12 Timer Functions

Lecture 12 Timer Functions CPE 390: Microprocessor Systems Spring 2018 Lecture 12 Timer Functions Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology Hoboken, NJ 07030 Adapted from HCS12/9S12

More information

Chapter 5 Timer Functions ECE 3120 Dr. Mohamed Mahmoud http://iweb.tntech.edu/mmahmoud/ mmahmoud@tntech.edu Outline 5.1 The Timer System 5.2 Programming the Timer System 5.3 Examples and Applications The

More information

Course Introduction. Content 20 pages 3 questions. Learning Time 30 minutes

Course Introduction. Content 20 pages 3 questions. Learning Time 30 minutes Purpose The intent of this course is to provide you with information about the main features of the S08 Timer/PWM (TPM) interface module and how to configure and use it in common applications. Objectives

More information

Lecture #19 Digital To Analog, PWM, Stepper Motors Embedded System Engineering Philip Koopman Monday, 28-March-2016

Lecture #19 Digital To Analog, PWM, Stepper Motors Embedded System Engineering Philip Koopman Monday, 28-March-2016 Lecture #19 Digital To Analog, PWM, Stepper Motors 18-348 Embedded System Engineering Philip Koopman Monday, 28-March-2016 Electrical& Computer ENGINEERING Copyright 2006-2016, Philip Koopman, All Rights

More information

ATmega16A Microcontroller

ATmega16A Microcontroller ATmega16A Microcontroller Timers 1 Timers Timer 0,1,2 8 bits or 16 bits Clock sources: Internal clock, Internal clock with prescaler, External clock (timer 2), Special input pin 2 Features The choice of

More information

Hello, and welcome to this presentation of the FlexTimer or FTM module for Kinetis K series MCUs. In this session, you ll learn about the FTM, its

Hello, and welcome to this presentation of the FlexTimer or FTM module for Kinetis K series MCUs. In this session, you ll learn about the FTM, its Hello, and welcome to this presentation of the FlexTimer or FTM module for Kinetis K series MCUs. In this session, you ll learn about the FTM, its main features and the application benefits of leveraging

More information

CprE 288 Introduction to Embedded Systems (Output Compare and PWM) Instructors: Dr. Phillip Jones

CprE 288 Introduction to Embedded Systems (Output Compare and PWM) Instructors: Dr. Phillip Jones CprE 288 Introduction to Embedded Systems (Output Compare and PWM) Instructors: Dr. Phillip Jones 1 Announcements HW8: Due Sunday 10/29 (midnight) Exam 2: In class Thursday 11/9 This object detection lab

More information

Lecture #4 Outline. Announcements Project Proposal. AVR Processor Resources

Lecture #4 Outline. Announcements Project Proposal. AVR Processor Resources October 11, 2002 Stanford University - EE281 Lecture #4 #1 Announcements Project Proposal Lecture #4 Outline AVR Processor Resources A/D Converter (Analog to Digital) Analog Comparator Real-Time clock

More information

EE 308 Spring S12 SUBSYSTEMS: PULSE WIDTH MODULATION, A/D CONVERTER, AND SYNCHRONOUS SERIAN INTERFACE

EE 308 Spring S12 SUBSYSTEMS: PULSE WIDTH MODULATION, A/D CONVERTER, AND SYNCHRONOUS SERIAN INTERFACE 9S12 SUBSYSTEMS: PULSE WIDTH MODULATION, A/D CONVERTER, AND SYNCHRONOUS SERIAN INTERFACE In this sequence of three labs you will learn to use the 9S12 S hardware sybsystem. WEEK 1 PULSE WIDTH MODULATION

More information

Activity 4: Due before the lab during the week of Feb

Activity 4: Due before the lab during the week of Feb Today's Plan Announcements: Lecture Test 2 programming in C Activity 4 Serial interfaces Analog output Driving external loads Motors: dc motors, stepper motors, servos Lecture Test Activity 4: Due before

More information

EE 308 Lab Spring 2009

EE 308 Lab Spring 2009 9S12 Subsystems: Pulse Width Modulation, A/D Converter, and Synchronous Serial Interface In this sequence of three labs you will learn to use three of the MC9S12's hardware subsystems. WEEK 1 Pulse Width

More information

Timer A (0 and 1) and PWM EE3376

Timer A (0 and 1) and PWM EE3376 Timer A (0 and 1) and PWM EE3376 General Peripheral Programming Model l l l l Each peripheral has a range of addresses in the memory map peripheral has base address (i.e. 0x00A0) each register used in

More information

Course Introduction. Purpose: Objectives: Content: 24 pages 3 questions. Learning Time: 35 minutes

Course Introduction. Purpose: Objectives: Content: 24 pages 3 questions. Learning Time: 35 minutes Course Introduction Purpose: This course provides an overview of the timer peripherals built into popular SH-2 and SH-2A families of 32-bit RISC microcontrollers, which are members of the SuperH series

More information

Hello and welcome to this Renesas Interactive Course that provides an overview of the timers found on RL78 MCUs.

Hello and welcome to this Renesas Interactive Course that provides an overview of the timers found on RL78 MCUs. Hello and welcome to this Renesas Interactive Course that provides an overview of the timers found on RL78 MCUs. 1 The purpose of this course is to provide an introduction to the RL78 timer Architecture.

More information

SPI Slave to PWM Generation

SPI Slave to PWM Generation April 2011 Introduction Reference Design RD1107 Pulse-width modulation (PWM) uses a rectangular pulse wave whose pulse width is modulated resulting in the variation of the average value of the waveform.

More information

Microcontroller: Timers, ADC

Microcontroller: Timers, ADC Microcontroller: Timers, ADC Amarjeet Singh February 1, 2013 Logistics Please share the JTAG and USB cables for your assignment Lecture tomorrow by Nipun 2 Revision from last class When servicing an interrupt,

More information

Motor Control Demonstration Lab

Motor Control Demonstration Lab Motor Control Demonstration Lab JIM SIBIGTROTH and EDUARDO MONTAÑEZ Freescale Semiconductor launched by Motorola, 8/16 Bit MCU Division, Austin, TX 78735, USA. Email: j.sibigtroth@freescale.com eduardo.montanez@freescale.com

More information

Hello, and welcome to this presentation of the STM32G0 digital-to-analog converter. This block is used to convert digital signals to analog voltages

Hello, and welcome to this presentation of the STM32G0 digital-to-analog converter. This block is used to convert digital signals to analog voltages Hello, and welcome to this presentation of the STM32G0 digital-to-analog converter. This block is used to convert digital signals to analog voltages which can interface with the external world. 1 The STM32G0

More information

Counter/Timers in the Mega8

Counter/Timers in the Mega8 Counter/Timers in the Mega8 The mega8 incorporates three counter/timer devices. These can: Be used to count the number of events that have occurred (either external or internal) Act as a clock Trigger

More information

I hope you have completed Part 2 of the Experiment and is ready for Part 3.

I hope you have completed Part 2 of the Experiment and is ready for Part 3. I hope you have completed Part 2 of the Experiment and is ready for Part 3. In part 3, you are going to use the FPGA to interface with the external world through a DAC and a ADC on the add-on card. You

More information

PIC Analog Voltage to PWM Duty Cycle

PIC Analog Voltage to PWM Duty Cycle Name Lab Section PIC Analog Voltage to PWM Duty Cycle Lab 5 Introduction: In this lab you will convert an analog voltage into a pulse width modulation (PWM) duty cycle. The source of the analog voltage

More information

PIC ADC to PWM and Mosfet Low-Side Driver

PIC ADC to PWM and Mosfet Low-Side Driver Name Lab Section PIC ADC to PWM and Mosfet Low-Side Driver Lab 6 Introduction: In this lab you will convert an analog voltage into a pulse width modulation (PWM) duty cycle. The source of the analog voltage

More information

Chapter 6 PROGRAMMING THE TIMERS

Chapter 6 PROGRAMMING THE TIMERS Chapter 6 PROGRAMMING THE TIMERS Force Outputs on Outcompare Input Captures Programmabl e Prescaling Prescaling Internal clock inputs Timer-counter Device Free Running Outcompares Lesson 2 Free Running

More information

Timer/Counter with PWM

Timer/Counter with PWM Timer/Counter with PWM The AVR Microcontroller and Embedded Systems using Assembly and C) by Muhammad Ali Mazidi, Sarmad Naimi, and Sepehr Naimi ATMEL 8-bit AVR Microcontroller with 4/8/16/32K Bytes In-System

More information

Graphical Control Panel User Manual

Graphical Control Panel User Manual Graphical Control Panel User Manual DS-MPE-DAQ0804 PCIe Minicard Data Acquisition Module For Universal Driver Version 7.0.0 and later Revision A.0 March 2015 Revision Date Comment A.0 3/18/2015 Initial

More information

Experiment # (3) PCM Modulator

Experiment # (3) PCM Modulator Islamic University of Gaza Faculty of Engineering Electrical Department Experiment # (3) PCM Modulator Digital Communications Lab. Prepared by: Eng. Mohammed K. Abu Foul Experiment Objectives: 1. To understand

More information

Using the HCS08 TPM Module In Motor Control Applications

Using the HCS08 TPM Module In Motor Control Applications Pavel Grasblum Using the HCS08 TPM Module In Motor Control Applications Designers can choose from a wide range of microcontrollers to provide digital control for variable speed drives. Microcontrollers

More information

ELEC 3040/3050 Lab #7

ELEC 3040/3050 Lab #7 ELEC 3040/3050 Lab #7 PWM Waveform Generation References: STM32L1xx Technical Reference Manual STM32L100RC Data Sheet Goals of this lab exercise Begin the primary design project for the semester Speed

More information

Motor Control using NXP s LPC2900

Motor Control using NXP s LPC2900 Motor Control using NXP s LPC2900 Agenda LPC2900 Overview and Development tools Control of BLDC Motors using the LPC2900 CPU Load of BLDCM and PMSM Enhancing performance LPC2900 Demo BLDC motor 2 LPC2900

More information

Timer 0 Modes of Operation. Normal Mode Clear Timer on Compare Match (CTC) Fast PWM Mode Phase Corrected PWM Mode

Timer 0 Modes of Operation. Normal Mode Clear Timer on Compare Match (CTC) Fast PWM Mode Phase Corrected PWM Mode Timer 0 Modes of Operation Normal Mode Clear Timer on Compare Match (CTC) Fast PWM Mode Phase Corrected PWM Mode PWM - Introduction Recall: PWM = Pulse Width Modulation We will mostly use it for controlling

More information

TXZ Family. Reference Manual Advanced Programmable Motor Control Circuit (A-PMD-B) 32-bit RISC Microcontroller. Revision 2.

TXZ Family. Reference Manual Advanced Programmable Motor Control Circuit (A-PMD-B) 32-bit RISC Microcontroller. Revision 2. 32-bit RISC Microcontroller TXZ Family Reference Manual Advanced Programmable Motor Control Circuit (A-PMD-B) Revision 2.0 2018-05 2018-05-08 1 / 58 Rev. 2.0 2017-2018 Toshiba Electronic Devices & Storage

More information

TDA 4700 TDA Control IC for Single-Ended and Push-Pull Switched-Mode Power Supplies (SMPS)

TDA 4700 TDA Control IC for Single-Ended and Push-Pull Switched-Mode Power Supplies (SMPS) Control IC for Single-Ended and Push-Pull Switched-Mode Power Supplies (SMPS) TDA 4700 Features Feed-forward control (line hum suppression) Symmetry inputs for push-pull converter (TDA 4700) Push-pull

More information

CSCI1600 Lab 4: Sound

CSCI1600 Lab 4: Sound CSCI1600 Lab 4: Sound November 1, 2017 1 Objectives By the end of this lab, you will: Connect a speaker and play a tone Use the speaker to play a simple melody Materials: We will be providing the parts

More information

Page 1. So Far. Usage Examples. Input Capture Basics. Familiar with CS/ECE 6780/5780. Al Davis. Trigger interrupts on rising/falling/both edges

Page 1. So Far. Usage Examples. Input Capture Basics. Familiar with CS/ECE 6780/5780. Al Davis. Trigger interrupts on rising/falling/both edges So Far CS/ECE 6780/5780 Al Davis Today s topics: Input capture particular focus on timing measurements useful for 5780 Lab 7 Familiar with threads, semaphores, & interrupts Now move on to capturing edge

More information

MICROCONTROLLER TUTORIAL II TIMERS

MICROCONTROLLER TUTORIAL II TIMERS MICROCONTROLLER TUTORIAL II TIMERS WHAT IS A TIMER? We use timers every day - the simplest one can be found on your wrist A simple clock will time the seconds, minutes and hours elapsed in a given day

More information

Hello, and welcome to this presentation of the STM32 Digital Filter for Sigma-Delta modulators interface. The features of this interface, which

Hello, and welcome to this presentation of the STM32 Digital Filter for Sigma-Delta modulators interface. The features of this interface, which Hello, and welcome to this presentation of the STM32 Digital Filter for Sigma-Delta modulators interface. The features of this interface, which behaves like ADC with external analog part and configurable

More information

CATALOG. ANALOG COMMUNICATION SYSTEMS DIGITAL COMMUNICATION SYSTEMS Microcontroller kits Arm controller kits PLC Trainer KITS Regulated Power supplies

CATALOG. ANALOG COMMUNICATION SYSTEMS DIGITAL COMMUNICATION SYSTEMS Microcontroller kits Arm controller kits PLC Trainer KITS Regulated Power supplies CATALOG ANALOG COMMUNICATION SYSTEMS DIGITAL COMMUNICATION SYSTEMS Microcontroller kits Arm controller kits PLC Trainer KITS Regulated Power supplies UNION INTRUMENTS #17 & 18, 4 th floor, Hanumathra Arcade

More information

Module 5. DC to AC Converters. Version 2 EE IIT, Kharagpur 1

Module 5. DC to AC Converters. Version 2 EE IIT, Kharagpur 1 Module 5 DC to AC Converters Version 2 EE IIT, Kharagpur 1 Lesson 37 Sine PWM and its Realization Version 2 EE IIT, Kharagpur 2 After completion of this lesson, the reader shall be able to: 1. Explain

More information

Fixed-function (FF) implementation for PSoC 3 and PSoC 5 devices

Fixed-function (FF) implementation for PSoC 3 and PSoC 5 devices 2.40 Features 8- or 16-bit resolution Multiple pulse width output modes Configurable trigger Configurable capture Configurable hardware/software enable Configurable dead band Multiple configurable kill

More information

Table of Contents. The Parallel Interface Module... 3

Table of Contents. The Parallel Interface Module... 3 Table of Contents The Parallel Interface Module... 3 Serial Peripheral Interface (SPI)... 4 SPI Registers... 5 SPI Pins Used... 5 SPI Control Register 1 (SPIxCR1)... 6 SPI Control Register 2 (SPIxCR2)...

More information

ME 333 Assignment 7 and 8 PI Control of LED/Phototransistor Pair. Overview

ME 333 Assignment 7 and 8 PI Control of LED/Phototransistor Pair. Overview ME 333 Assignment 7 and 8 PI Control of LED/Phototransistor Pair Overview For this assignment, you will be controlling the light emitted from and received by an LED/phototransistor pair. There are many

More information

VORAGO Timer (TIM) subsystem application note

VORAGO Timer (TIM) subsystem application note AN1202 VORAGO Timer (TIM) subsystem application note Feb 24, 2017, Version 1.2 VA10800/VA10820 Abstract This application note reviews the Timer (TIM) subsystem on the VA108xx family of MCUs and provides

More information

Laboratory Exercise 1 Microcontroller Board with Driver Board

Laboratory Exercise 1 Microcontroller Board with Driver Board Laboratory Exercise 1 Microcontroller Board with Driver Board The purpose of this lab exercises is to demonstrate how the Microcontroller Board can be used to control motors connected to the Driver Board

More information

For reference only Refer to the latest documents for details

For reference only Refer to the latest documents for details STM32F3 Technical Training For reference only Refer to the latest documents for details General Purpose Timers (TIM2/3/4/5 - TIM12/13/14 - TIM15/16/17 - TIM6/7/18) TIM2/5 TIM3/4/19 TIM12 TIM15 TIM13/14

More information

AVL-10000T AUDIO VIDEO LINK TRANSMITTER TECHNICAL MANUAL

AVL-10000T AUDIO VIDEO LINK TRANSMITTER TECHNICAL MANUAL AVL-10000T AUDIO VIDEO LINK TRANSMITTER TECHNICAL MANUAL Document : AVL-10000T Version: 1.00 Author: Henry S Date: 25 July 2008 This module contains protection circuitry to guard against damage due to

More information

Application Note. Brushless DC Motor Control AN-1114

Application Note. Brushless DC Motor Control AN-1114 Application Note AN-1114 Abstract In this application note a GreenPAK configuration applicable for a single-phase BLDC motor is introduced. This application note comes complete with design files which

More information

ELCT 912: Advanced Embedded Systems

ELCT 912: Advanced Embedded Systems ELCT 912: Advanced Embedded Systems Lecture 5: PIC Peripherals on Chip Dr. Mohamed Abd El Ghany, Department of Electronics and Electrical Engineering The PIC Family: Peripherals Different PICs have different

More information

Lab 23 Microcomputer-Based Motor Controller

Lab 23 Microcomputer-Based Motor Controller Lab 23 Microcomputer-Based Motor Controller Page 23.1 Lab 23 Microcomputer-Based Motor Controller This laboratory assignment accompanies the book, Embedded Microcomputer Systems: Real Time Interfacing,

More information

Microprocessor & Interfacing Lecture Programmable Interval Timer

Microprocessor & Interfacing Lecture Programmable Interval Timer Microprocessor & Interfacing Lecture 30 8254 Programmable Interval Timer P A R U L B A N S A L A S S T P R O F E S S O R E C S D E P A R T M E N T D R O N A C H A R Y A C O L L E G E O F E N G I N E E

More information

ESE 350 Microcontroller Laboratory Lab 5: Sensor-Actuator Lab

ESE 350 Microcontroller Laboratory Lab 5: Sensor-Actuator Lab ESE 350 Microcontroller Laboratory Lab 5: Sensor-Actuator Lab The purpose of this lab is to learn about sensors and use the ADC module to digitize the sensor signals. You will use the digitized signals

More information

Review for Final Exam

Review for Final Exam Review for Final Exam Numbers Decimal to Hex (signed and unsigned) Hex to Decimal (signed and unsigned) Binary to Hex Hex to Binary Addition and subtraction of fixed-length hex numbers Overflow, Carry,

More information

Fixed-function (FF) implementation for PSoC 3 and PSoC 5LP devices

Fixed-function (FF) implementation for PSoC 3 and PSoC 5LP devices 3.30 Features 8- or 16-bit resolution Multiple pulse width output modes Configurable trigger Configurable capture Configurable hardware/software enable Configurable dead band Multiple configurable kill

More information

ADS9850 Signal Generator Module

ADS9850 Signal Generator Module 1. Introduction ADS9850 Signal Generator Module This module described here is based on ADS9850, a CMOS, 125MHz, and Complete DDS Synthesizer. The AD9850 is a highly integrated device that uses advanced

More information

Calhoon MEBA Engineering School. Study Guide for Proficiency Testing Industrial Electronics

Calhoon MEBA Engineering School. Study Guide for Proficiency Testing Industrial Electronics Calhoon MEBA Engineering School Study Guide for Proficiency Testing Industrial Electronics January 0. Which factors affect the end-to-end resistance of a metallic conductor?. A waveform shows three complete

More information

Controlling DC Brush Motor using MD10B or MD30B. Version 1.2. Aug Cytron Technologies Sdn. Bhd.

Controlling DC Brush Motor using MD10B or MD30B. Version 1.2. Aug Cytron Technologies Sdn. Bhd. PR10 Controlling DC Brush Motor using MD10B or MD30B Version 1.2 Aug 2008 Cytron Technologies Sdn. Bhd. Information contained in this publication regarding device applications and the like is intended

More information

PreLab 6 PWM Design for H-bridge Driver (due Oct 23)

PreLab 6 PWM Design for H-bridge Driver (due Oct 23) GOAL PreLab 6 PWM Design for H-bridge Driver (due Oct 23) The overall goal of Lab6 is to demonstrate a DC motor controller that can adjust speed and direction. You will design the PWM waveform and digital

More information

CMOS Serial Digital Pulse Width Modulator INPUT CLK MODULATOR LOGIC PWM 8 STAGE RIPPLE COUNTER RESET LOAD FREQUENCY DATA REGISTER

CMOS Serial Digital Pulse Width Modulator INPUT CLK MODULATOR LOGIC PWM 8 STAGE RIPPLE COUNTER RESET LOAD FREQUENCY DATA REGISTER css Custom Silicon Solutions, Inc. S68HC68W1 May 2003 CMOS Serial Digital Pulse Width Modulator Features Direct Replacement for Intersil CDP68HC68W1 Pinout PDIP / SOIC (Note #1) TOP VIEW Programmable Frequency

More information

ECE 511: FINAL PROJECT REPORT GROUP 7 MSP430 TANK

ECE 511: FINAL PROJECT REPORT GROUP 7 MSP430 TANK ECE 511: FINAL PROJECT REPORT GROUP 7 MSP430 TANK Team Members: Andrew Blanford Matthew Drummond Krishnaveni Das Dheeraj Reddy 1 Abstract: The goal of the project was to build an interactive and mobile

More information

AVR42778: Core Independent Brushless DC Fan Control Using Configurable Custom Logic on ATtiny817. Features. Introduction. AVR 8-bit Microcontroller

AVR42778: Core Independent Brushless DC Fan Control Using Configurable Custom Logic on ATtiny817. Features. Introduction. AVR 8-bit Microcontroller AVR 8-bit Microcontroller AVR42778: Core Independent Brushless DC Fan Control Using Configurable Custom Logic on ATtiny817 APPLICATION NOTE Features Base setup for performing core independent brushless

More information

ZL30131 OC-192/STM-64 SONET/SDH/10GbE Network Interface Synchronizer

ZL30131 OC-192/STM-64 SONET/SDH/10GbE Network Interface Synchronizer OC-192/STM-64 SONET/SDH/10bE Network Interface Synchronizer Features Synchronizes to standard telecom or Ethernet backplane clocks and provides jitter filtered output clocks for SONET/SDH, DH and Ethernet

More information

PIC Functionality. General I/O Dedicated Interrupt Change State Interrupt Input Capture Output Compare PWM ADC RS232

PIC Functionality. General I/O Dedicated Interrupt Change State Interrupt Input Capture Output Compare PWM ADC RS232 PIC Functionality General I/O Dedicated Interrupt Change State Interrupt Input Capture Output Compare PWM ADC RS232 General I/O Logic Output light LEDs Trigger solenoids Transfer data Logic Input Monitor

More information

Topics Introduction to Microprocessors

Topics Introduction to Microprocessors Topics 2244 Introduction to Microprocessors Chapter 8253 Programmable Interval Timer/Counter Suree Pumrin,, Ph.D. Interfacing with 886/888 Programming Mode 2244 Introduction to Microprocessors 2 8253/54

More information

2.017 DESIGN OF ELECTROMECHANICAL ROBOTIC SYSTEMS Fall 2009 Lab 4: Motor Control. October 5, 2009 Dr. Harrison H. Chin

2.017 DESIGN OF ELECTROMECHANICAL ROBOTIC SYSTEMS Fall 2009 Lab 4: Motor Control. October 5, 2009 Dr. Harrison H. Chin 2.017 DESIGN OF ELECTROMECHANICAL ROBOTIC SYSTEMS Fall 2009 Lab 4: Motor Control October 5, 2009 Dr. Harrison H. Chin Formal Labs 1. Microcontrollers Introduction to microcontrollers Arduino microcontroller

More information

CHAPTER 2 VSI FED INDUCTION MOTOR DRIVE

CHAPTER 2 VSI FED INDUCTION MOTOR DRIVE CHAPTER 2 VI FE INUCTION MOTOR RIVE 2.1 INTROUCTION C motors have been used during the last century in industries for variable speed applications, because its flux and torque can be controlled easily by

More information

XC4e PWM Digital Drive

XC4e PWM Digital Drive PWM Digital Drive HyperWire fiber-optic interface Up to 30 A peak output current Integral power supply Amplifiers/Drives Drive brush, brushless, voice coil, or stepper motors Safe torque off (STO) safety

More information

CMP 200 FUNCTIONAL DRAWINGS. DC Bus and Power Distribution

CMP 200 FUNCTIONAL DRAWINGS. DC Bus and Power Distribution CPI Canada Inc Functional Drawing Index CMP 00 FUNCTIONAL DRAWINGS DESCRIPTION DC Bus and Power Distribution System ON Room Interface XRay Exposure Radiographic kv Control and Feedback Filament Drive and

More information

Exclusive Technology Feature. Magnetically Isolated Digital Coupling Circuit Solves Gate Drive and Communications Dilemmas

Exclusive Technology Feature. Magnetically Isolated Digital Coupling Circuit Solves Gate Drive and Communications Dilemmas ISSUE: March 2012 Magnetically Isolated Digital Coupling Circuit Solves Gate Drive and Communications Dilemmas by Andrew Ferencz, Ferencz Consulting, Southborough, Mass. Power engineers often need digital

More information

EE445L Fall 2011 Quiz 2A Page 1 of 6

EE445L Fall 2011 Quiz 2A Page 1 of 6 EE445L Fall 2011 Quiz 2A Page 1 of 6 Jonathan W. Valvano First: Last: November 18, 2011, 2:00pm-2:50pm. Open book, open notes, calculator (no laptops, phones, devices with screens larger than a TI-89 calculator,

More information

OBSOLETE. Bus Compatible Digital PWM Controller, IXDP 610 IXDP 610

OBSOLETE. Bus Compatible Digital PWM Controller, IXDP 610 IXDP 610 Bus Compatible Digital PWM Controller, IXDP 610 Description The IXDP610 Digital Pulse Width Modulator (DPWM) is a programmable CMOS LSI device which accepts digital pulse width data from a microprocessor

More information

Lab 5 Timer Module PWM ReadMeFirst

Lab 5 Timer Module PWM ReadMeFirst Lab 5 Timer Module PWM ReadMeFirst Lab Folder Content 1) ReadMeFirst 2) Interrupt Vector Table 3) Pin out Summary 4) DriverLib API 5) SineTable Overview In this lab, we are going to use the output hardware

More information

USB4. Encoder Data Acquisition USB Device Page 1 of 8. Description. Features

USB4. Encoder Data Acquisition USB Device Page 1 of 8. Description. Features USB4 Page 1 of 8 The USB4 is a data acquisition device designed to record data from 4 incremental encoders, 8 digital inputs and 4 analog input channels. In addition, the USB4 provides 8 digital outputs

More information

Web-Enabled Speaker and Equalizer Final Project Report December 9, 2016 E155 Josh Lam and Tommy Berrueta

Web-Enabled Speaker and Equalizer Final Project Report December 9, 2016 E155 Josh Lam and Tommy Berrueta Web-Enabled Speaker and Equalizer Final Project Report December 9, 2016 E155 Josh Lam and Tommy Berrueta Abstract IoT devices are often hailed as the future of technology, where everything is connected.

More information

Department of Communication Engineering Digital Communication Systems Lab CME 313-Lab

Department of Communication Engineering Digital Communication Systems Lab CME 313-Lab German Jordanian University Department of Communication Engineering Digital Communication Systems Lab CME 313-Lab Experiment 2 Pulse Modulation Eng. AnasAlashqar Dr. Ala' Khalifeh 1 Experiment 1Experiment

More information

Pololu TReX Jr Firmware Version 1.2: Configuration Parameter Documentation

Pololu TReX Jr Firmware Version 1.2: Configuration Parameter Documentation Pololu TReX Jr Firmware Version 1.2: Configuration Parameter Documentation Quick Parameter List: 0x00: Device Number 0x01: Required Channels 0x02: Ignored Channels 0x03: Reversed Channels 0x04: Parabolic

More information

3.3V regulator. JA H-bridge. Doc: page 1 of 7

3.3V regulator. JA H-bridge. Doc: page 1 of 7 Cerebot Reference Manual Revision: February 9, 2009 Note: This document applies to REV B-E of the board. www.digilentinc.com 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview The

More information

Application Note: Using the Motor Driver on the 3pi Robot and Orangutan Robot Controllers

Application Note: Using the Motor Driver on the 3pi Robot and Orangutan Robot Controllers Application Note: Using the Motor Driver on the 3pi Robot and Orangutan Robot 1. Introduction..................................................... 2 2. Motor Driver Truth Tables.............................................

More information

Electronics II Physics 3620 / 6620

Electronics II Physics 3620 / 6620 Electronics II Physics 3620 / 6620 Feb 09, 2009 Part 1 Analog-to-Digital Converters (ADC) 2/8/2009 1 Why ADC? Digital Signal Processing is more popular Easy to implement, modify, Low cost Data from real

More information

ME 461 Laboratory #2 Timers and Pulse-Width Modulation

ME 461 Laboratory #2 Timers and Pulse-Width Modulation ME 461 Laboratory #2 Timers and Pulse-Width Modulation Goals: 1. Understand how to use timers to control the frequency at which events occur. 2. Generate PWM signals using Timer A. 3. Explore the frequency

More information

PAM & SAM System User s Manual

PAM & SAM System User s Manual PAM & SAM System User s Manual Part 5 - SAM Drive Technical Information Ordering Number: 9032 011 985 Issue November 14, 2000 This version replaces all previous versions of this document. It also replaces

More information

Pulse Width Modulation

Pulse Width Modulation ECEn 621" Computer Arithmetic" Project Notes Week 1 Pulse Width Modulation 1 Pulse Width Modulation A method of regulating the amount of voltage delivered to a load. The average value of the voltage fed

More information

Lock Cracker S. Lust, E. Skjel, R. LeBlanc, C. Kim

Lock Cracker S. Lust, E. Skjel, R. LeBlanc, C. Kim Lock Cracker S. Lust, E. Skjel, R. LeBlanc, C. Kim Abstract - This project utilized Eleven Engineering s XInC2 development board to control several peripheral devices to open a standard 40 digit combination

More information

Product type designation. General information. Supply voltage

Product type designation. General information. Supply voltage Data sheet SIMATIC S7-300, CPU 313C, COMPACT CPU WITH MPI, 24 DI/16 DO, 4AI, 2AO 1 PT100, 3 FAST COUNTERS (30 KHZ), INTEGRATED 24V DC POWER SUPPLY, 128 KBYTE WORKING MEMORY, FRONT CONNECTOR (2 X 40PIN)

More information