Implementing a Voltage Scaling Reference Flow Based on ARM s IEM. Giorgio Parapini Cadence ICD Product Engineer

Size: px
Start display at page:

Download "Implementing a Voltage Scaling Reference Flow Based on ARM s IEM. Giorgio Parapini Cadence ICD Product Engineer"

Transcription

1 Implementing a Voltage Scaling Reference Flow Based on ARM s IEM Giorgio Parapini Cadence ICD Product Engineer

2 Abstract Relative to ARM's IEM technology, this session describes a reference flow to implement voltage scaling along with dormant mode to shutdown the standard cell circuitry on an ARM1176JZF-S processor core. We focus on the low power features leveraged from Cadence Encounter Platform, including multiple supply voltage, DVFS implementation with multi-corner libraries, and variable VDD and ECSM-based sign-off. The entire flow is based on a single specification of the design power intent, including power domains, power modes, level shifting and isolation rules. This session also helps attendees understand RTL and library support required for IEM implementation.

3 Agenda ARM and Cadence Collaboration irm ARM1176JZF-S IEM ARM Artisan Library Support ARM1176JZF-S IEM implementation flow using Cadence Encounter Platform Conclusion

4 ARM and Cadence Collaboration

5 ARM Alliance ARM and Cadence Alliance History Multi-year partnership to: Address design challenges Optimize ARM offerings for design and implementation Increase productivity and IP use predictability June 00 June 03 Sept 03 Mar 05/ June 06 Sept 06 May 06 Nov 07 May 07 Verification AHB, evc, and AMBA compliance System C SI & X Architecture Support 27% Wire reduction Encounter and RTL Compiler Reference Methodology Improved QoS Low Power 40% PWR & PSO savings Functional Verification Kit Reduced Risks Cortex-A8 Express Synthesizable QoS And TAT PFI Silicon Proof Point Silicon Validated low power flow Low Power Methodology Kit Faster deployment of low power flows

6 irm

7 ARM-Cadence Reference Methodology Scripted RTL to GDSII flow delivers Optimised PPA Reduced Turnaround Time Risk/Cost reduction Key elements Core hardening Generation of abstract models Available from ARM Jointly developed flows Qualified/released with cores Design kit gives complete outof-box experience Performance Max Attainable Performance ARM-Cadence Solution Proprietary Solutions Faster Time To Market Time

8 Cadence irms Available For All ARM Processors Processor Tier Encounter ARM Library (TSMC) ARM1176JZF-S IEM CPF Metro 130LP CORTEX-R4[F] Advantage 90G ARM11 MPCORE Advantage 90G CORTEX-A Advantage 90G, 65LP CORTEX-R Advantage 90G CORTEX-M Advantage 90G ARM1176JZ[F]-S Advantage 90G ARM926EJ-S Advantage 90G ARM1156J[F]-S SageX 130G ARM1136J[F]-S SageX 130G ARM968E-S SageX 130G ARM1026EJ-S SageX 130G ARM966E-S SageX 130G ARM946E-S SageX 130G ARM7TDMI-S SageX 130G ARM7EJ-S SageX 130G

9 ARM1176JZF-S IEM

10 What Consumers Care About Users want more features in their mobile devices MP3, Camera, Video, GPS... But also need long battery life Convenient form factor, affordable price Heart of all these devices - Microprocessor Battery technology is not evolving fast enough! Need to manage power consumption

11 Power Dissipation E t = ( CV 0 2 DD f + V I ) dt c Total Total Power Dissipation DD lkg t 0 V DD I leak dt Static Power Dissipation Dynamic Power Dissipation t 0 CV 2 DD f c dt Minimise I leak by: Reducing operating voltage Fewer leaking transistors I leak I switch Minimise I switch by: Reducing operating voltage Less switching cap Less switching activity

12 Improving Dynamic Energy Efficiency Dynamic Frequency Scaling (DFS) Reduce operating frequency if possible Reduces average power (but not task energy) Eliminates idle cycle Dynamic Voltage & Frequency Scaling (DVFS) Requires DFS Reduces voltage if frequency is reduced Reduces task energy Based on characterized frequency voltage pairs (lookup table)

13 How IEM Works? Batteries have finite amounts of energy stored in them Running fast and then idling wastes energy Voltage Reduce Voltage Reduce Voltage Reduce Voltage Energy Energy Saved Run Task Slow as as Possible Run Task in in Available Time Task 1 Idle Task 2 Task 3 Energy Time Only need to to run run just justfast enough to to meet the the application deadlines

14 IEM System Implementation

15 ARM1176JZ-S Power Management Two Complementary Techniques: ARM1176 Dormant Mode, allows Complete Power-off of Core (no leakage in core) Retain system state in Cache/TCM at low voltage Minimize energy loss due to leakage in standby modes. Note : Requirement of isolation cells to clamp the RAM inputs IEM compatible core and design flow Enables dynamic voltage and frequency scaling Tune Performance dynamically to current demand Substantial reduction of energy consumption, extended Battery Life.

16 ARM Artisan Library Support

17 ARM Power-Management Kit Power gates (MT-CMOS) Power control of voltage islands via switchable voltage rails using header (shown) or footer cells Level shifter and isolation cells Up and down shifting with optional enable signal Retention flip-flops Maintain FF state after power down for leakage reduction Back-bias support Reduce leakage current via well-biasing with special fill_tie cells Always on buffer Buffering of signals in powered-down areas VDD1 VDD1 VDD1 Global VSS Global VDD Note: Picture shows a conceptual implementation VDD2 VDD2 VDD2

18 Agenda ARM and Cadence Collaboration irm ARM1176JZF-S IEM ARM Artisan Library Support ARM1176JZF-S IEM implementation flow using Cadence Encounter Platform Conclusion

19 ARM and Cadence irm ARM-Cadence Reference Methodology ARM Artisan Metro Standard Cell ARM Artisan Power Management Kit Compiled Views for Fire&ICE, VoltageStorm and Celtic NDC Timing ECSM extensions RTL Compiler SOC Encounter Fire & Ice QX Celtic NDC VoltageStorm Conformal Low Power

20 ARM1176-IEM irm Features CPF based flow CPF file is used to describe the low power intent and to drive implementation and verification flow Automated RTL to GDS Multi Supply Voltage Implementation flow Multi Mode Multi Corner (MMMC) analysis and optimization Ensures design is optimized across complete voltage and frequency range Tri-lib based flow Provides accurate interpolation for DVFS and IR drop analysis ECSM extensions to.lib

21 Cadence Low-Power Solution Define power architecture early-on in the design flow Capture once using CPF; no re-entry or translation later on Entire design flow understands CPF and helps preserve the power-intent Verification: Comprehensive low-power simulation and formal verification Design: Power-aware synthesis, equivalence checking, and DFT Implementation: Automated power-aware RTL-to-GDS layout Management: Power plan and metrics

22 What is the Common Power Format? Single specification of power intent used throughout design, verification, and implementation ASCII File that captures: Design intent Power domain Logical: hierarchical modules as domain members Physical: power/ground nets and connectivity Analysis view: timing library sets for power domains Power Logic Level Shifter Logic Isolation Logic State-Retention logic Switch Logic & Control Signals Power modes Definitions Transition Expressions Technology information Level shifter cells Isolation cells State-retention cells Switch cells Always-on cells

23 ARM1176-IEM CPF: MSV setup create_power_nets -nets VDDRAM create_power_nets -nets VDDCORE \ -external_shutoff_condition {SWITCH_VCORE} create_power_nets -nets VDD create_power_domain -name VCORE \ -instances $VCORE_moduleInst_list \ -boundary_ports "$VCORE_pins" \ -shutoff_condition {SWITCH_VCORE} update_power_domain -name VCORE \ -internal_power_net VDDCORE create_global_connection -net VDDCORE -domain VCORE -pins VDD create_global_connection -net VSS -domain VCORE -pins VSS create_power_domain -name VRAM create_power_domain -name VSOC default create_isolation_rule -name rule_vcore2vram \ -from VCORE -to VRAM \ -isolation_output low \ -isolation_condition {!RAMCLAMP} update_isolation_rules -names rule_vcore2vram \ -location to -combine_level_shifting \ -cells {LVLLHEHX8M} create_level_shifter_rule -name rule_vram2vcore \ -from VRAM -to VCORE update_level_shifter_rules -names rule_vram2vcore \ -cells {LVLHLX8M} -location create_level_shifter_rule -name rule_vsoc2vcore create_isolation_rule -name rule_vcore2vsoc_low create_isolation_rule -name rule_vcore2vsoc_high

24 Multi Mode Multi Corner (MMMC) Process www Dynamic Voltage Frequency Scaling wwb Mode PM_highV VSOC 1.08V VCORE 1.08V VRAM 1.08V Frequency 250MHz Multi PVT Corners Temp bbw PM_medV 1.08V 0.90V 0.90V 166MHz PM_lowV 1.08V 0.72V 0.72V 90MHz VCORE_Dormant 1.08V Off 0.72V 250MHz Multiple Modes with multiple constraints (.sdc) bbb Voltage Analysis View Corner definition Power mode Library Set.lib (.ecsm* ext).cdb (SI) Operating Conditions (PVT) RC Corner -SPEF -QX tech -Cap Table.sdc

25 ARM1176-IEM CPF: Power modes Same power modes are used by both Logic Synthesis (RTLCompiler) and by Place & Route (SOCEncounter) Mode PM_highV PM_medV PM_lowV VCORE_Dormant Dynamic Voltage and Frequency Scaling VSOC 1.08V 1.08V 1.08V 1.08V VCORE 1.08V 0.90V 0.72V Off VRAM 1.08V 0.90V 0.72V 0.72V Target frequency 250MHz 166MHz 90MHz 250MHz create_nominal_condition -name highv -voltage 1.08 update_nominal_condition -name highv -library_set libs-worst-1.08v create_nominal_condition -name OFF -voltage 0 create_power_mode -name PM_highV -default \ -domain_conditions {VCORE@highV VRAM@highV VSOC@highV} \ update_power_mode -name PM_highV -sdc_files ARM1176JZFS.constraints_PM_highV.sdc create_power_mode -name VCORE_dormant \ -domain_conditions {VCORE@OFF VRAM@highV VSOC@highV}

26 ARM1176-IEM CPF: Corners & Analysis views Operating corners and analysis views are only used for physical implementation Analysis and physical optimization are working concurrently on active analysis views create_operating_corner -name WCORNER_1.08 \ -voltage temperature 125 -process 1 -library_set libs-worst-1.08v create_operating_corner -name WCORNER_0.72 \ -voltage temperature 125 -process 1 -library_set libs-worst-0.72v create_operating_corner -name BCORNER \ -voltage temperature "-40" -process 1 -library_set libs-best-1.32 create_analysis_view -name WCVIEW_1.08 \ -mode PM_highV \ -domain_corners {VCORE@WCORNER_1.08 VRAM@WCORNER_1.08 VSOC@WCORNER_1.08} create_analysis_view -name WCVIEW_0.72 \ -mode PM_lowV \ -domain_corners {VCORE@WCORNER_0.72 VRAM@WCORNER_0.72 VSOC@WCORNER_1.08} create_analysis_view -name BCVIEW_1.32 \ -mode PM_highV \ -domain_corners {VCORE@BCORNER VRAM@BCORNER VSOC@BCORNER}

27 Automated CPF-driven MSV flow Constraints CPF Netlist Use the CPF to drive synthesis and physical implementation MSV/power domain partition (power domains with assigned instances, toplevel IO pins and power ground connections) Setup MMMC environment (power modes, delay corners and analysis views ) Isolation rules and level_shifter rules to automatize the usage of shifter / isolation cells: definition, identification from RTL, insertion, placement and optimization Synthesize, place, optimize, and route based on power domains Domain-aware Clock Tree Synthesis Static/Dynamic Power Analysis SI & Static Timing Analysis Low Power Functional Verification Top-down MSV/MultiMode Single-pass Synthesis Floorplanning / Silicon Virtual Prototype Power Grid Synthesis Isolation/level shifter cells check and insertion Placement including SRPG/Level shifters/iso cells Power Routing Low Power Clock Tree Synthesis Domain-aware Post-CTS Optimization Domain Aware NanoRoute IRDrop-Aware Timing/SI Opt. Sign-off MSV/MMMC Infrastructure GDSII Timing/Power Optimization

28 Logic synthesis Lib1 0.72V Lib2 0.90V Lib3 1.08V RTL Chip CPF Read/constrain top Apply power constraints Synthesize Top Analyze timing/power Chip SDC Power Domains Shifters Isolation Power modes Leakage Dynamic Clock Gating Lib1 0.72V Top PSO VCORE B Lib2 0.90V Isolation C Isolation Lib3 1.08V VSOC VRAM Adjust / incremental update Top-down single-pass synthesis with power domain definition Identification of level shifters and isolation cells already instantiated in RTL Multi-mode synthesis to consider frequency and voltage scaling Implementation of separated scan chains, for VSOC and VCORE domains Leakage power optimization using High-Vt cells

29 Floorplan: Power Domains Each power domain has its own dedicated row structure automatically created depending on the associated cell libraries Hard block placement is scripted and easily customizable through relative floorplan commands Power structure is automatically built via tcl script. create_power_domain -name VCORE \ -instances $VCORE_moduleInst_list -boundary_ports "$VCORE_pins" \ -shutoff_condition {SWITCH_VCORE} create_power_domain -name VRAM \ -instances "$env(vram_moduleinst_list)" -boundary_ports "$VRAM_pins create_power_domain -name VSOC -default \ -boundary_ports "$VSOC_pins"

30 Floorplan: Power Structure VRAM Rings and stripes for: VDDRAM, VSS VCORE Rings and Stripes for: VDDCORE, VSS VSOC Rings and stripes for: VDD,VSS create_ground_nets -nets VSS create_power_nets -nets VDDRAM create_power_nets -nets VDDCORE -external_shutoff_condition {SWITCH_VCORE} create_power_nets -nets VDD

31 Placement Standard cells, level shifters (single and multi-height) and isolation cells automatically placed in a single pass verifypowerdomain command checks: nets crossing the boundaries (both level shifter cells and isolation cells) library binding placement of instances in a power domain IO pins assigned to the correct power domain

32 Level Shifters / Clamps: VCORE-VRAM Triple-row height LVLLHEH shifters Vertical connections of VDDI pins to VDDCORE power net automatically routed VRAM VSS VDDRAM Single-row height LVLHL shifters VDDCORE VSS create_isolation_rule -name rule_vcore2vram \ -from VCORE -to VRAM -isolation_output low \ -isolation_condition {!RAMCLAMP} update_isolation_rules -names rule_vcore2vram \ -location to -cells {LVLLHEHX8M} \ -combine_level_shifting create_level_shifter_rule -name rule_vram2vcore \ VCORE -from VRAM -to VCORE update_level_shifter_rules -names {rule_vram2vcore} \ -cells {LVLHLX8M} -location to

33 Clock Tree Synthesis & Routing CTS in SOC Encounter is power domain aware For all clocks crossing power domains, CTS assumes that level shifters are present (according to CPF rules) A single pass top-down clock tree is created by CTS CTS does the following: Establishes a single entry/exit point for each domain Selects buffers from appropriate libraries and places them within domain boundaries Balance skew through domains and for all active corners/views Both trialroute and nanoroute honour power domains in SOC Encounter

34 Cross-Domain Timing Optimization Optimization transparently considers level shifter / clamp placement and signal direction Parts of net should be don t touch Buffer needs to be inserted from the correct library and into the correct module Buffer location is timing driven Optimizes timing and design rules concurrently for all active corners/views Power Domain A Libraries A Power Domain A Libraries A Power Domain A (0.8V) Libraries A Power Domain B Libraries B Power Domain B Libraries B Power Domain B (1.0V) Libraries B 0.8V I/O Don t touch nets 0.8V I/O

35 Variable VDD Flow Assign non-pre-characterized VDD value as the operating voltage and run through timing optimization closure Using ECSM and tri-lib technology for better accuracy Requires a minimum of two library characterized for different voltages for good a accuracy in full range Supported by analysis and optimization Delay Calculator Process Temp SS, 125 o C 1.08V 0.90V 0.72V Dynamic Voltage and Frequency Scaling Mode VSOC VCORE VRAM Target frequency PM_highV 1.08V 1.08V 1.08V 250MHz PM_medV 1.08V 0.90V 0.90V 166MHz PM_triV 1.08V 1.00V 1.00V 200MHz VCORE_Dormant 1.08V Off 0.72V 250MHz

36 Library support for MMMC Library /Process ARM Metro libraries for TSMC CL013G process Available voltage corners WC: 0.72V, 0.9V, 1.08V BC: 0.88V, 1.1V, 1.32V Required views Timing models (.lib) characterized at available voltage corners, optionally with ECSM extensions for better accuracy Noise models (.cdb) characterized at available voltage corners

37 ECSM advanced timing models Delay sensitivity to Vdd increases nonlinearly at smaller geometries.lib and K-factors not accurate at low V dd and between characterization points need for more accurate cell models for IR-drop and MSV ECSM accurately models delay variations with V dd: Captures the waveform-dependent non-linear behavior of the receiver-pin capacitance Driver modeled as a current source: I/V curve for each slope, load combination ARM-Cadence RM deploys ECSM models for signoff STA within Encounter, leveraging detailed instance voltages output by power analysis. Delay (ps) nm Buffer, 1V nominal supply Non-linear increase in Delay due to V dd scaling 30% Linear Approximation Voltage (V dd ) SPICE ECSM-based K Factor

38 Formal Verification Functional equivalence check Structural checks (Conformal Low Power Solution) Missing level shifters and power connectivity Missing isolation and power connectivity Bad isolation cell and level shifter Correct cell placement in physical domain Isolation cell enable Enable VCORE A Vi Vo Y VRAM

39 Power and Rail analysis Placed & Routed Design Database Plots Derive Power-Pin Location CPF Mode Specification Power Libraries Report Power (Common Power Engine) Power-Switch ECO Static & Dynamic IR-drop Analysis Decap ECO Waveforms & IR drop Files Timing & Critical Path VDDCORE IR drop analysis Analysis

40 Conclusion Comprehensive Low Power support across RTL2GDS Leverages state of the art features, including DVFS and variable VDD flow Optimized and tested for use with latest Cadence tool releases Complete offering Processor IP Reference libraries Portable Reference Methodology Scripts for Cadence tools ARM and Cadence collaboration Streamlining rapid deployment of ARM processor products Accelerate time to market for the ARM Partner Available to ARM partners and Cadence customers

41 Thank You

ARM: 1176 IEM Reference Methodology

ARM: 1176 IEM Reference Methodology ARM: 1176 IEM Reference Methodology Philip Watson, Implementation Environment Program Manager, ARM. Introduction ARM and Cadence have been collaborating on low-power methodology development for a number

More information

Advanced Techniques for Using ARM's Power Management Kit

Advanced Techniques for Using ARM's Power Management Kit ARM Connected Community Technical Symposium Advanced Techniques for Using ARM's Power Management Kit Libo Chang( 常骊波 ) ARM China 2006 年 12 月 4/6/8 日, 上海 / 北京 / 深圳 Power is Out of Control! Up to 90nm redu

More information

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Amber Path FX is a trusted analysis solution for designers trying to close on power, performance, yield and area in 40 nanometer processes

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

ICE of silicon. [Roza] Computational efficiency [MOPS/W] 3DTV. Intrinsic computational efficiency.

ICE of silicon. [Roza] Computational efficiency [MOPS/W] 3DTV. Intrinsic computational efficiency. SoC Design ICE of silicon Computational efficiency [MOPS/W] 10 6 [Roza] 10 5 Intrinsic computational efficiency 3DTV 10 4 10 3 10 2 10 1 i386sx 601 604 604e microsparc Ultra sparc i486dx P5 Super sparc

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

Low Power Techniques for SoC Design: basic concepts and techniques

Low Power Techniques for SoC Design: basic concepts and techniques Low Power Techniques for SoC Design: basic concepts and techniques Estagiário de Docência M.Sc. Vinícius dos Santos Livramento Prof. Dr. Luiz Cláudio Villar dos Santos Embedded Systems - INE 5439 Federal

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li

Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li Design Service Division, GLOBAL UNICHIP CORP., Taiwan, ROC Xiaopeng

More information

Improved DFT for Testing Power Switches

Improved DFT for Testing Power Switches Improved DFT for Testing Power Switches Saqib Khursheed, Sheng Yang, Bashir M. Al-Hashimi, Xiaoyu Huang School of Electronics and Computer Science University of Southampton, UK. Email: {ssk, sy8r, bmah,

More information

Ramya Srinivasan GLOBALFOUNDRIES 22FDX: Tempus Body-Bias Interpolation QoR. April

Ramya Srinivasan GLOBALFOUNDRIES 22FDX: Tempus Body-Bias Interpolation QoR. April Ramya Srinivasan GLOBALFOUNDRIES 22FDX: Tempus Body-Bias Interpolation QoR April 12 2017 22FDX: Tempus Body-Bias Interpolation QoR Presenter: Ramya Srinivasan Authors GLOBALFOUNDRIES: Haritez Narisetty

More information

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers Accurate Timing and Power Characterization of Static Single-Track Full-Buffers By Rahul Rithe Department of Electronics & Electrical Communication Engineering Indian Institute of Technology Kharagpur,

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

Aggressive Leakage Management in ARM Based Systems

Aggressive Leakage Management in ARM Based Systems Aggressive Leakage Management John Biggs - ARM Alan Gibbons - Synopsys ABSTRACT The management of power consumption for battery life is widely considered to be the limiting factor in supporting the concurrent

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

ASICs Concept to Product

ASICs Concept to Product ASICs Concept to Product Synopsis This course is aimed to provide an opportunity for the participant to acquire comprehensive technical and business insight into the ASIC world. As most of these aspects

More information

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology UDC 621.3.049.771.14:621.396.949 A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology VAtsushi Tsuchiya VTetsuyoshi Shiota VShoichiro Kawashima (Manuscript received December 8, 1999) A 0.9

More information

Power Management in modern-day SoC

Power Management in modern-day SoC Power Management in modern-day SoC C.P. Ravikumar Texas Instruments, India C.P. Ravikumar, IIT Madras 1 Agenda o Motivation o Power Management in the Signal Chain o Low-Power Design Flow Technological

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Jan. 28. 2011 Nobuyuki Nishiguchi Semiconductor Technology Advanced Research Center (STARC) ASP-DAC

More information

LSI Design Flow Development for Advanced Technology

LSI Design Flow Development for Advanced Technology LSI Design Flow Development for Advanced Technology Atsushi Tsuchiya LSIs that adopt advanced technologies, as represented by imaging LSIs, now contain 30 million or more logic gates and the scale is beginning

More information

CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing

CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing CS250 VLSI Systems Design Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing Fall 2010 Krste Asanovic, John Wawrzynek with John Lazzaro and Yunsup Lee (TA) What do Computer

More information

Power Gating of the FlexCore Processor. Master of Science Thesis in Integrated Electronic System Design. Vineeth Saseendran Donatas Siaudinis

Power Gating of the FlexCore Processor. Master of Science Thesis in Integrated Electronic System Design. Vineeth Saseendran Donatas Siaudinis Power Gating of the FlexCore Processor Master of Science Thesis in Integrated Electronic System Design Vineeth Saseendran Donatas Siaudinis VLSI Research Group Division of Computer Engineering, Department

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

Using a Voltage Domain Programmable Technique for Low-Power Management Cell-Based Design

Using a Voltage Domain Programmable Technique for Low-Power Management Cell-Based Design J. Low Power Electron. Appl. 2011, 1, 303-326; doi:10.3390/jlpea1020303 Article Using a Voltage Domain Programmable Technique for Low-Power Management Cell-Based Design Ching-Hwa Cheng Journal of Low Power

More information

Active Decap Design Considerations for Optimal Supply Noise Reduction

Active Decap Design Considerations for Optimal Supply Noise Reduction Active Decap Design Considerations for Optimal Supply Noise Reduction Xiongfei Meng and Resve Saleh Dept. of ECE, University of British Columbia, 356 Main Mall, Vancouver, BC, V6T Z4, Canada E-mail: {xmeng,

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

Optimization of power in different circuits using MTCMOS Technique

Optimization of power in different circuits using MTCMOS Technique Optimization of power in different circuits using MTCMOS Technique 1 G.Raghu Nandan Reddy, 2 T.V. Ananthalakshmi Department of ECE, SRM University Chennai. 1 Raghunandhan424@gmail.com, 2 ananthalakshmi.tv@ktr.srmuniv.ac.in

More information

Reducing Transistor Variability For High Performance Low Power Chips

Reducing Transistor Variability For High Performance Low Power Chips Reducing Transistor Variability For High Performance Low Power Chips HOT Chips 24 Dr Robert Rogenmoser Senior Vice President Product Development & Engineering 1 HotChips 2012 Copyright 2011 SuVolta, Inc.

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 5: Transistor Models Projects Groups of 3 Proposals in two weeks (2/20) Topics: Soft errors in datapaths Soft errors in memory Integration

More information

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS Low Power Design Part I Introduction and VHDL design Ricardo Santos ricardo@facom.ufms.br LSCAD/FACOM/UFMS Motivation for Low Power Design Low power design is important from three different reasons Device

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Authors: Rick Brooks, Cisco, ricbrook@cisco.com Jane Lim, Cisco, honglim@cisco.com Udupi Harisharan, Cisco,

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

MHz phase-locked loop

MHz phase-locked loop SPECIFICATION 1 FEATURES 50 800 MHz phase-locked loop TSMC CMOS 65 nm Output frequency from 50 to 800 MHz Reference frequency from 4 to 30 MHz Power supply 1.2 V CMOS output Supported foundries: TSMC,

More information

STM RH-ASIC capability

STM RH-ASIC capability STM RH-ASIC capability JAXA 24 th MicroElectronic Workshop 13 th 14 th October 2011 Prepared by STM Crolles and AeroSpace Unit Deep Sub Micron (DSM) is strategic for Europe Strategic importance of European

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University EE434 ASIC & Digital Systems Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 11 Physical Design Issues Interconnect Scaling Effects Dense multilayer metal increases coupling

More information

SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT. Hagay Guterman, CSR Jerome Toublanc, Ansys

SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT. Hagay Guterman, CSR Jerome Toublanc, Ansys SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT Hagay Guterman, CSR Jerome Toublanc, Ansys Speakers Hagay Guterman, CSR Hagay Guterman is a senior signal and power integrity

More information

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits FDTD Analysis of High-Speed Cells in Silicon Integrated Circuits Neven Orhanovic and Norio Matsui Applied Simulation Technology Gateway Place, Suite 8 San Jose, CA 9 {neven, matsui}@apsimtech.com Abstract

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

Current Based Delay Models: A Must For Nanometer Timing

Current Based Delay Models: A Must For Nanometer Timing Current Based Delay Models: A Must For Nanometer Timing Ratnakar Goyal rgoyal@cadence.com Naresh Kumar nkumar@cadence.com Cadence Design Systems, Inc. Abstract In order to accurately account for nanometer

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

Signal Integrity Management in an SoC Physical Design Flow

Signal Integrity Management in an SoC Physical Design Flow Signal Integrity Management in an SoC Physical Design Flow Murat Becer Ravi Vaidyanathan Chanhee Oh Rajendran Panda Motorola, Inc., Austin, TX Presenter: Rajendran Panda Talk Outline Functional and Delay

More information

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright Geared Oscillator Project Final Design Review Nick Edwards Richard Wright This paper outlines the implementation and results of a variable-rate oscillating clock supply. The circuit is designed using a

More information

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India, ISSN 2319-8885 Vol.03,Issue.30 October-2014, Pages:5968-5972 www.ijsetr.com Low Power and Area-Efficient Carry Select Adder THANNEERU DHURGARAO 1, P.PRASANNA MURALI KRISHNA 2 1 PG Scholar, Dept of DECS,

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

MDLL & Slave Delay Line performance analysis using novel delay modeling

MDLL & Slave Delay Line performance analysis using novel delay modeling MDLL & Slave Delay Line performance analysis using novel delay modeling Abhijith Kashyap, Avinash S and Kalpesh Shah Backplane IP division, Texas Instruments, Bangalore, India E-mail : abhijith.r.kashyap@ti.com

More information

Mixed Signal Virtual Components COLINE, a case study

Mixed Signal Virtual Components COLINE, a case study Mixed Signal Virtual Components COLINE, a case study J.F. POLLET - DOLPHIN INTEGRATION Meylan - FRANCE http://www.dolphin.fr Overview of the presentation Introduction COLINE, an example of Mixed Signal

More information

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code:

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code: Global Journal of researches in engineering Electrical and electronics engineering Volume 12 Issue 3 Version 1.0 March 2012 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global

More information

The backend duplication method

The backend duplication method The backend duplication method - A Leakage-Proof Place-and and-route Strategy for Secured ASICs - CHES Workshop August 30th September 1st 2005 Edinburgh, Scotland, UK. Sylvain GUILLEY (*), Philippe HOOGVORST

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

Design of a Tri-modal Multi-Threshold CMOS Switch with Application to Data Retentive Power Gating

Design of a Tri-modal Multi-Threshold CMOS Switch with Application to Data Retentive Power Gating Design of a Tri-modal Multi-Threshold CMOS Switch with Application to Data Retentive Power Gating Ehsan Pakbaznia, Student Member, and Massoud Pedram, Fellow, IEEE Abstract A tri-modal Multi-Threshold

More information

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available Timing Analysis Lecture 9 ECE 156A-B 1 General Timing analysis can be done right after synthesis But it can only be accurately done when layout is available Timing analysis at an early stage is not accurate

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

EMI Reduction on an Automotive Microcontroller

EMI Reduction on an Automotive Microcontroller EMI Reduction on an Automotive Microcontroller Design Automation Conference, July 26 th -31 st, 2009 Patrice JOUBERT DORIOL 1, Yamarita VILLAVICENCIO 2, Cristiano FORZAN 1, Mario ROTIGNI 1, Giovanni GRAZIOSI

More information

RTL Power Estimation Flow and Its Use in Power Optimization

RTL Power Estimation Flow and Its Use in Power Optimization RTL Power Estimation Flow and Its Use in Power Optimization Sondre Rennan Nesset Master of Science in Electronics Submission date: June 2018 Supervisor: Per Gunnar Kjeldsberg, IES Co-supervisor: Knut Austbø,

More information

Managing Cross-talk Noise

Managing Cross-talk Noise Managing Cross-talk Noise Rajendran Panda Motorola Inc., Austin, TX Advanced Tools Organization Central in-house CAD tool development and support organization catering to the needs of all design teams

More information

Lecture 23 Encounter in Depth and Conclusion

Lecture 23 Encounter in Depth and Conclusion Lecture 23 Encounter in Depth and Conclusion Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ Some Final Administrative Stuff 2 Class Project Presentation

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

Entering FD-SOI Era Using GLOBALFOUNDRIES 22FDX Technology

Entering FD-SOI Era Using GLOBALFOUNDRIES 22FDX Technology Entering FD-SOI Era Using GLOBALFOUNDRIES 22FDX Technology Ease of Design Combined with Tunable Performance/Power Optimization Presenter: Tamer Ragheb Authors: Stefan Block, Wolfgang Daub, Juergen Dirks,

More information

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS -Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS Jiajun Shi, Mingyu Li and Csaba Andras Moritz Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA,

More information

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. Power and Energy Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu The Chip is HOT Power consumption increases

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks Sanjay Pant, David Blaauw University of Michigan, Ann Arbor, MI Abstract The placement of on-die decoupling

More information

Lecture 9: Clocking for High Performance Processors

Lecture 9: Clocking for High Performance Processors Lecture 9: Clocking for High Performance Processors Computer Systems Lab Stanford University horowitz@stanford.edu Copyright 2001 Mark Horowitz EE371 Lecture 9-1 Horowitz Overview Reading Bailey Stojanovic

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Amir Hasanbegovic (amirh@ifi.uio.no) Nanoelectronics Group, Dept. of Informatics, University of Oslo November 5, 2010 Overview

More information

Automated Place and Route Methodologies. For Multi-project Test Chips. Christopher Lieb

Automated Place and Route Methodologies. For Multi-project Test Chips. Christopher Lieb Automated Place and Route Methodologies For Multi-project Test Chips by Christopher Lieb A Thesis Presented in Partial Fulfillment of the Requirements for the Degree Master of Science Approved April 2015

More information

The Physical Design of Long Time Delay-chip

The Physical Design of Long Time Delay-chip 2011 International Conference on Computer Science and Information Technology (ICCSIT 2011) IPCSIT vol. 51 (2012) (2012) IACSIT Press, Singapore DOI: 10.7763/IPCSIT.2012.V51.137 The Physical Design of Long

More information

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective Overview of Design Methodology Lecture 1 Put things into perspective ECE 156A 1 A Few Points Before We Start ECE 156A 2 All About Handling The Complexity Design and manufacturing of semiconductor products

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

International Journal of Advanced Research in Computer Science and Software Engineering

International Journal of Advanced Research in Computer Science and Software Engineering Volume 3, Issue 8, August 2013 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com A Novel Implementation

More information

Logic Synthesis. Logic synthesis transforms RTL code into a gate-level netlist. RTL Verilog converted into Structural Verilog

Logic Synthesis. Logic synthesis transforms RTL code into a gate-level netlist. RTL Verilog converted into Structural Verilog Logic Synthesis Logic synthesis transforms RTL code into a gate-level netlist RTL Verilog converted into Structural Verilog Logic Synthesis - The process and steps Translation Check RTL for valid syntax

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

CharFlo-Cell! Cell! Next-Generation Solution for Characterizing and Modeling Standard Cell and I/O Library

CharFlo-Cell! Cell! Next-Generation Solution for Characterizing and Modeling Standard Cell and I/O Library CharFlo-Cell! Cell! TM Next-Generation Solution for Characterizing and Modeling Standard Cell and I/O Library Agenda Introduction The Flow of CharFlo-Cell! The Applications and Features BiSection Methods

More information

International Journal of Innovative Research in Technology, Science and Engineering (IJIRTSE) Volume 1, Issue 1.

International Journal of Innovative Research in Technology, Science and Engineering (IJIRTSE)   Volume 1, Issue 1. Standard Cell Design with Low Leakage Using Gate Length Biasing in Cadence Virtuoso and ALU Using Power Gating Sleep Transistor Technique in Soc Encounter Priyanka Mehra M.tech, VLSI Design SRM University,

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

Lecture 4&5 CMOS Circuits

Lecture 4&5 CMOS Circuits Lecture 4&5 CMOS Circuits Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese566/ Worst-Case V OL 2 3 Outline Combinational Logic (Delay Analysis) Sequential Circuits

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Eta Compute Self-timed ARM M3 Microcontroller for Energy Harvested Applications

Eta Compute Self-timed ARM M3 Microcontroller for Energy Harvested Applications Eta Compute Self-timed ARM M3 Microcontroller for Energy Harvested Applications Agenda Motivation A New Paradigm Dial Technology Chip Architecture Measured Results Sensor Reference Design 2 Deploying Billions

More information

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise?

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise? Power Supply Networs: Analysis and Synthesis What is Power Supply Noise? Problem: Degraded voltage level at the delivery point of the power/ground grid causes performance and/or functional failure Lower

More information

Managing Metastability with the Quartus II Software

Managing Metastability with the Quartus II Software Managing Metastability with the Quartus II Software 13 QII51018 Subscribe You can use the Quartus II software to analyze the average mean time between failures (MTBF) due to metastability caused by synchronization

More information

Lecture Perspectives. Administrivia

Lecture Perspectives. Administrivia Lecture 29-30 Perspectives Administrivia Final on Friday May 18 12:30-3:30 pm» Location: 251 Hearst Gym Topics all what was covered in class. Review Session Time and Location TBA Lab and hw scores to be

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information