A Low Power, Low Jitter DLL Based Low Frequency (250 KHz) Clock Generator. Koyel Mukherjee, Dibyendu Ballabh

Size: px
Start display at page:

Download "A Low Power, Low Jitter DLL Based Low Frequency (250 KHz) Clock Generator. Koyel Mukherjee, Dibyendu Ballabh"

Transcription

1 Int. J. Signal and Imaging Systems Engineering, Vol. 7, No. 1, A Low Power, Low Jitter DLL Based Low Frequency (250 KHz) Clock Generator P. Ghosal* Department of Information Technology, Bengal Engineering and Science University, Shibpur Howrah , India Fax: prasun@ieee.org *Corresponding author H. Rahaman Department of Information Technology, Bengal Engineering and Science University, Shibpur Howrah , India Fax: rahaman h@yahoo.co.in Koyel Mukherjee, Dibyendu Ballabh School of VLSI Technology, Bengal Engineering and Science University, Shibpur Howrah , India koyel.666@gmail.com, dibyendu.ballabh@gmail.com Abstract: DLL based clock generation has proven itself a viable alternative in today s clock design segment over the traditional PLL based design by offering lower design complexity with satisfying low power budget. In this paper, a novel design of an on-chip clock generator of very low frequency of 250 KHz and 50% duty cycle using a Digital Delayed Locked Loop (DLL) has been presented. The worst case jitter produced by the clock is only 11.3 ns. The clock has rise time and fall time of ps and ps (on an average) respectively with a glitch of 6 mv. The clock produces a very low power of 3.92 mw. The design was implemented under 180 nm process technology and assuming 1.8 V power supply. Keywords: Low frequency clock generator; DLL based clock design. Reference to this paper should be made as follows: Ghosal, P., Rahaman, H., Mukherjee, K., and Ballabh, D. (2011) A Low Power, Low Jitter DLL Based Low Frequency (250 KHz) Clock Generator, Int. J. Signal and Imaging Systems Engineering, Vol. 1, Nos. 3/4, pp Biographical notes: Prasun Ghosal has completed his M.Tech. (2005) as well as B.Tech. (2002) in Radio Physics and Electronics Engineering from Institute of Radio Physics & Electronics, University of Calcutta, India. He is also an Honours Graduate (major in Physics) from Ramakrishna Mission Vidyamandira, Belur (1999) under University of Calcutta. Presently he is pursuing for his Doctoral Award and submitted his dissertation. He is currently associated as an Assistant Professor with the Department of Information Technology in Bengal Engineering & Science University. Prior to this he has served the same University as a Lecturer and Senior Research Fellow. He is the recipient of Young Scientist Research Award (National) for the year from Indian Science Congress Association in the area of Information and Communication Science & Technology (including Computer Science). He is a member of the IEEE, ACM, IAENG, and ISCA. His research interests include VLSI Physical Design, Design of Embedded Systems, Networkon-Chip, and Mobile Computing. Hafizur Rahaman received the Bachelor of Electrical Engineering degree from Calcutta University, India in 1986, the Master degree in Electrical Engineering, from Jadavpur University, Calcutta, India in He served CMPD Institute (R & D organization), India from 1988 to He served as a faculty member at Indian Institute of Information Technology-Calcutta, India from 1995 to In 2003, he wrote his doctoral thesis Copyright c 2009 Inderscience Enterprises Ltd.

2 4 P. Ghosal et al. on Studies in Deign for Testability Techniques for Stuck-open and Delay Faults at the Department of Computer Science and Engineering, Jadavpur University, Calcutta, India. Since 2003, Dr. Rahaman has been on the faculty of the Bengal Engineering and Science University, Shibpur, India, where he is full professor. Dr. Rahaman visited as Post Doctoral Research Fellow at the department of Computer Science, Bristol University, UK during His research interest includes logic synthesis and testing of VLSI circuits, fault-tolerant computing, synthesis and testing of reversible quantum circuits and design and testing of Galois Field Arithmetic circuits. He has published several research articles in archival journals and refereed conference proceedings. He is the coordinator of the department of Information Technology (DIT), MCIT, Govt. of India funded SMDP (Special Manpower Development in VLSI Design and related Software) research project at Bengal Engineering and Science University, Shibpur, India. Dr. Rahaman is a Member of the VLSI Society of India (VSI), the IEEE, the IEEE Computer Society, and ACM Sigda. He served on the conference committees of the International Conference on VLSI Design, the VLSI Design and Test Workshop (VDAT), Asian Test symposium (2005). Koyel Mukherjee has completed her B.Tech.(2008) in Electronics and Communication Engineering under West Bengal University of Technology, India, and M.Tech.(2010) in VLSI Technology under Bengal Engineering & Science University, Shibpur, India. Presently she is associated with ECE Dept, Seacom Engineering College, Howrah, India as a Lecturer. Dibyendu Ballabh has completed his B.Tech.(2007) in Electronics and Communication Engineering under West Bengal University of Technology, India, and M.Tech.(2010) in VLSI Technology under Bengal Engineering & Science University, Shibpur, India. He is currently working as a Software Engineer in Tata Consultancy Services. 1 Introduction Most of the clock generators used in today s high performance micro-processors employ Phase Locked Loop (PLL),Kurita et al. (1991)Yang et al. (2009) which includes Voltage Controlled Oscillators (VCO). But the frequency generated by these clocks are either a few GHz or at least a few MHz. The present design was targeted to design a circuit to generate a clock signal of 250 KHz, which is, indeed a very low frequency compared to the available ones. These types of clocks are finding greater applications in different domains nowadays, viz. in some embedded systems, in electronic gas or pressure sensors for mining applications etc. 1.1 PLL vs DLL The PLL is a higher order system with high design complexity (Moorthi et al., 2008) (Kurita et al., 1991). Its loop bandwidth (critical for stable operation) can change due to process, voltage and temperature (PVT) variation. It suffers from high jitter problems that accumulates over the oscillation cycles causing peak phase error considerably larger than original phase variation (Yang et al., 2009). Moreover it takes an input clock and generates a clock which has frequency much higher than the input clock. But since the target of the proposed job is to design clock with a frequency in the range of few hundred KHz which is much lower than the available ones, hence PLL approach for the present job was not the right one. On the other hand, a DLL can be designed with minimum circuit complexity. (Rantala et al., 2007) (Mesgarzadeh et al., 2009) (Kim et al., 2002). Here a DLL based Clock generator with first order loops has been proposed that does not incorporate VCO. Moreover it is preferred due to its lower power consumption, smaller area, low noise sensitivity, lower jitter, and higher flexibility on supply voltage. A simplified DLL based clock generator (Figure 1) consists of a phase detector, delay controller, and delay line. The output of the Delay Line is compared with the reference clock, utilizing a phase detector (PD). Based on the phase difference between the inputs of the PD, a control signal is generated for a delay controller. The delay controller controls the total delay in the delay line by producing proper signals. This delay controller can be a charge pump in an analog DLL or a counter in digital DLL. When the delay difference between the output of the delay line and the reference clock is exactly one period of the reference clock, the DLL is correctly locked. At this point, the edge of the output clock starts to move back and forth around the edge of the reference clock to keep the DLL in the lock condition. The rest of the paper is organized as follows. Section 2 summarizes the background and motivation of the work. Section 3 describes the proposed architecture of the design in detail. Section 4 describes the layout implementation issues. Experimental results and observations are summarized in section 5, and finally section 6 concludes the paper.

3 A Low Power, Low Jitter DLL Based Low Frequency (250 KHz) Clock Generator 5 P n Phase Detector Delay Controller Reference Clock (2MHz) Phase Detector Phase Error Compensator Loop Control Unit Delay Line Delayed Clock DCDL Ref Frequency Divider ( / 8) P 1 P 2 P 3 P n Figure 1 Simplified Block Diagram of a DLL Based Clock Generator Output Clock (250 KHz ) Figure 2 Block diagram of proposed DLL based low frequency clock generator 2 Background and Motivation The Delay Locked Loop (DLL) and their applications have been studied during the last few years. DLL used in designing clock generator and Phase Generator, has proven itself a viable alternative to provide very good performance (Rantala et al., 2007) (Mesgarzadeh et al., 2009) (Kim et al., 2002). In theory a clock DLL could have many delay stages as required to generate different phases, though the proposed clock generator is designed to generate single phase clock. 2.1 Low Frequency Clock Generation Problem A Clock generation technique with a very low frequency in the range of few hundred KHz is comes with a number of problems. Any Clock generation technique by using either PLL or DLL requires an external clock at the input provided by some oscillator. These external clocks so far available have at least operating frequency of 1MHz. so to obtain the 250KHz clock, a frequency divider circuit is required in addition with the PLL/DLL. Thus an additional power and area consumption and timing delay is introduced in the circuit. If the frequency division is done prior the DLL operation, the input of the DLL would become so low that it would require a very high value of passive components for filtering purpose. These high value of passive components themselves demand for almost the same area as rest of the circuit. Thus the chip area becomes larger and power consumption becomes more. But if the frequency divider circuit is placed at the output of the DLL, the DLL can operate with clock of higher frequency at the input, so it would require comparatively lower value of passive components. So the area and power consumption also becomes lower. 3 Proposed Architecture A block diagram of the proposed architecture (Figure 2) of the design of the low frequency clock generator consists of the following units. Phase Detector (PD) Delay Control Unit (DCU) Phase Error Compensating (PEC) Block Digitally Controllable Delay Line (DCDL) Frequency Divider (by 8) The basic building block of the entire design is a positive edge triggered master-slave D type flip flop. This D flip flop has been used as the fundamental block for the 5 bit counter, 5 bit register and the frequency divider circuit. The D flip flop is designed using Transmission gate logic (as transmission gate based logic has proven itself a competent alternative for designing low power VLSI circuits). The master is negatively edge triggered and the slave is positively edge triggered. The D flip flop could have been designed using pass transistor logic also. But pass transistor logic results in lowering of voltage swing when they are cascaded, as they are implemented using either nmos or pmos. But since in the pass transistor logic both the nmos and the pmos are connected in parallel, so full voltage swing is always achieved. The Phase Detector (PD) compares the phases of the input clock and the delayed output of the DLL. If the phases match, the PD generates a logic 0 output and logic 1 otherwise. At the same time the PEC averages these output value to a DC or almost DC level and compares result with an externally applied reference voltage of 700 mv. If the phase difference is very high, the corresponding average value will also be high. If this value exceeds the V ref the comparator output of the PEC

4 6 P. Ghosal et al. becomes high. That means the DLL is not locked. It is in open loop condition. Similarly if the clocks are in same phase, the comparator output will become zero to indicate that the DLL is locked. The PEC output controls the performance of the Delay Control Unit by acting as the select lines of the MUX (multiplexer) network. The 5 bit counter and the 5 bit register of the Delay Control Unit keeps track of the delay between the input and the DLL output. The output of the DCDL is 8 times frequency divided to obtain the desired clock of 250 KHz. 3.1 Phase Detector The phase detector is basically an XOR gate. The XOR gate is built using transmission gate. The external reference clock frequency is assumed to be equal to 2 MHz and its delayed version are applied as the input to the XOR gate. Depending on whether there is a phase difference or not between the two input clocks, the XOR gate generates a signal HIGH or LOW. If the reference clock leads the delayed clock, then the output is an UP signal. If the delayed clock leads the reference clock, the output is a DOWN signal. 3.2 Delay Control Unit (DCU) A 5 bit counter, 5 bit register and a multiplexer (MUX) network form the Delay control Unit. The bit count of the counter and the register depends on the selection of the reference voltage of the comparator in the PEC block. The reference voltage of the comparator is formulated as V ref = V dd /2 n (1) where, V dd = 1.8 V and n = Bit count of the counter. Since the reference voltage of the comparator is 56 mv, so the calculated value of the bit count of the counter (n) is 5. Had the bit count of the counter been greater than 5, the reference voltage of the comparator would be very low. It is experimented that such a low value of reference voltage produces a large delay and the rising edge and the falling edge of the output pulse of the comparator would not pass through the points where the input of the comparator just crosses the reference voltage. If n < 5, then the V ref would be very high. In that case the V ref may stay higher than LPF output in most of the time, thus resulting in constant LOW output at the comparator. For n = 5, we may get a satisfactory DLL result. As mentioned already, the counter and the register are built using positive edge triggered master-slave D flip flop. Depending upon the phase error produced by the phase detector circuit, the 5 bit counter can generate 2 n = 32 combinations of output. The 5 bit register stores the value of the counter output. Until the phase difference between the reference clock and the delayed clock remains less than the total time period of the reference clock, the entire circuit remains closed loop. As soon as the phase difference becomes exactly equal to the total time period of the reference clock, the DLL gets locked and the closed condition breaks. At this time the output of the counter becomes all 1s. Corresponding value is stored in the register. All the select (SEL) lines of the multiplexers (MUX) are driven by the output of the comparator of the PEC block. The inputs A n and B n are the outputs of the counter and the register respectively. Until the DLL is locked, the output of the comparator is HIGH, which makes the output of the counter to be selected. When the DLL is locked, the comparator output becomes all LOW, which selects the register outputs. The outputs of the MUX network are fed as the select line for the Delay Control Unit. 3.3 Digitally Controllable Delay Line (DCDL) The controllable delay line consists of series of delay cells. Each of the delay cells comprises of two successive inverters and a two input multiplexer. The select lines are driven by the output of the MUX network. One of the input of the multiplexer is the output from the inverter series. Another input is a bypass line directly from the input of the first inverter. Since each of the inverters introduces some fixed delay to the circuit, hence when the reference clock passes through the inverter series, the delay line introduces delay to the reference clock. The MUX selects output of the inverter series/bypass line when the select line is HIGH/LOW respectively. So when all the MUXs have SEL = HIGH, the delay line introduces the maximum delay and when all the SEL = LOW, it generates the minimum delay. Thus the DCDL, with single inverter delay (with t r = t f = 3 ns) = 0.3 ns introduces delay as follows. Delay through the bypass line and single MUX = 16.2 ps (minimum) Delay through the double inverters and single MUX = 35.3 ns (maximum) 3.4 Phase Error Compensator (PEC) The PEC block consists of an additional phase detector (PD) followed by a low pass filter and a comparator. The PD detects the phase difference whereas the LPF averages the output of the PD. The comparator compares the average value with respect to a reference voltage (56 mv) (V ref = V dd /2 n ) and generates a binary signal for the LCU. When the phase difference is small, the average at the LPF is closed to zero and the DLL gets open. If any mismatch is introduced in the phases, the average value starts to increase. When it is more than the reference voltage, the LCU forces the DLL to enter into close loop mode to compensate for the phase error, the average voltage decreases and the open loop mode is reactivated. If a first-order RC filter is being utilized in the implementation of the PEC block then

5 A Low Power, Low Jitter DLL Based Low Frequency (250 KHz) Clock Generator 7 Figure 3 Digitally Controllable Delay Cell Figure 4 Phase Error Compensator the satisfaction of the constraints gives us the following range for RC product in the low-pass filter 0.4/f ref < RC < 1/f ref (2) By utilizing this range, we can determine the parameters required to design the low-pass filter for the PEC block. So by calculation for f ref = 2MHz, RC is chosen to be 200 ns, where, R = 40KΩ and C = 5pF Level Shifter As already calculated V ref = 56mV. But the comparator so designed can t give satisfactory result at such a low voltage. Instead the result is quite satisfactory at V ref = 700mV. So to achieve proper functionality and also to keep proper reference voltage, the reference voltage level needs to be shifted up. Hence the use of a level shifter was necessary. Therefore, a level shifter is used which can shift up the DC voltage of the o/p of the Low pass filter to ( = 644)mV. So the comparator can now work with V ref = 700mV. The level shifter is formed using two p-mos transistors. The transistors are specially sized to obtain the desired result. The sizes of the driver and the diode connected load transistors are W/N = 8.5u/200n and W/L = 300n/4u respectively. Though level shifting of 644 mv is required but this level shifter provides a level shift of about 610 mv (shown in Figure 17). This reduction in the shifted level does not significantly hamper the desired functionality of the entire PEC block. Hence the provided shifted value was accepted. Figure 5 Timing Diagram of the Phase Error Compensator Block 3.5 Power Optimization The PEC block was an essential block in the circuit to track the phase error. From the expression of RC time constant (Equation 2) it is clear that if f ref is very high, RC becomes very low and vice versa. Hence f ref is selected to be 2 MHz instead 250 KHz. Had the frequency divider been used at the input of the DLL block, the input f ref would become 250 KHz, i.e. RC > Figure 6 Level Shifter

6 8 P. Ghosal et al. 4ms. So the passive components would be so high that it would result in significant heating effect and considerable power dissipation. So instead of placing the frequency divider at the input of the DLL, it is placed at the output of the DLL so that RC > 0.8ps only and the power dissipation can be significantly reduced. The 2 MHz f ref then can be only 1/8 times divided to obtain the required 250 KHz. The f ref could have been higher. But then due to the number of additional blocks in the frequency divider would have caused more area and higher power dissipation. Thus by choosing f ref = 2MHz, with RC = 200 ns and frequency divider (by 8) circuit, the power dissipation obtained at the post layout simulation is as low as 3.92 mw only. Also, Fingering technique was used to break the large size transistors into small functional units. This fingering technique also helps in lowering the overall power dissipation since then the power is to be distributed over small sized gates. Similar fingering technique was also used to the large size transistors of the comparator and the level shifter to reduce the power dissipation. 3.6 Jitter Optimization Figure 7 RCX view of an inverter shows the position of the parasitic effects Jitter introduced in clock signal results into variation of the clock time period over cycles. Thus the jitter may increase in a feedback process. This effect might be predominant in PLL based approach as it incorporates Voltage Controlled Oscillator (VCO) as a component. VCO accumulates jitter over oscillation cycles. So the basic jitter reduction technique was taken at the very first step of the design by not choosing the PLL based design. Moreover in the proposed design Digitally Controllable Delay Line (DCDL) was chosen instead of the Voltage Controllable Delay Line(VCDL), as DCDL have a higher ability to reduce the noise induced jitter in the clock. On the other hand, VCDL is prone to jitter effect caused by phase detection noise, internal noise in substrate, VCDL Control voltage noise etc. Figure 8 Integrated On-chip Clock Generator 4 Layout Implementation The Layout implementation of the design was done in Virtuso editor window in Cadence Tool Suite. After doing the individual layout designs, physical verifications like Design Rule Checking (DRC), Layout vs Schematic (LVS), and Parasitic Extraction (RCX) were done in Assura window. The RCX checking can only show the positions where the parasitic effects have generated. But there is no option to eliminate the effect, other than redesigning. Individual blocks were then finally integrated. The final circuit layout is surrounded by Power supply rails to have the access to them through the shortest path. The dummy fillers were placed in every vacant position to avoid capacitive effect variation. The RCX view (after parasitic extraction) of an inverter is shown in Figure?? and the final av-extracted view of the integrated clock generator with supply rail is shown in Figure Experimental Results and Observations After post layout simulation it is found that the normal threshold voltage of the inverter has shifted from 900 mv (pre layout simulation) to mv at the rising edge, and mv at the falling edge respectively. This variation of the threshold voltage has an obvious effect on the switching activity of the inverter and hence on the entire circuit performance. When the pre-layout simulation was done without adding a buffer at the output, the final clock generator shows a glitch of 67 mv. By putting a strong buffer at the output of the frequency divider circuit, the glitch

7 A Low Power, Low Jitter DLL Based Low Frequency (250 KHz) Clock Generator 9 Figure 12 Falling edge of the inverter after post layout simulation Figure 9 Clock Generator with Supply Rail and Dummy Fillers Figure 13 Comparator output after post layout simulation Figure 10 AV Extracted view of the Clock Generator with Supply Rail Figure 14 Shift of Comparator output at the point of crossing the reference voltage Figure 11 Rising edge of the inverter after post layout simulation could be minimized to a value of only 15 mv (Figure 16). At the post-layout simulation with the buffer, the circuit generates a clock with glitch reduced to a value of only 6 mv. The comparator output doesn t follow the rising and falling edges of the input at the zero crossing. There is a little delay at the zero crossing points. For both the rising and falling edges, this delay is about 0.02 ns. Final clock output is shown in Figure 18.

8 10 P. Ghosal et al. Figure 18 Final clock after frequency division and buffering Figure 15 Glitch of the final clock without buffer Table 4 Final Specification of the integrated On-chip Clock Parameters Value Transistor Count 359 Total area 353/198 um 2 Pin Counts 5 Total Power Dissipation 3.92 mw Rise Time ps Fall Time ps Jitter 11.3ns Duty Cycle 50% Glitch 6 mv Figure 16 Reduced glitch of final clock with buffer 5.1 Process Corner Analysis Process Corner Analysis is concerned about the circuit functionality according to the variation of the threshold voltage (V th ). Threshold voltage variation is strongly dependent on the temperature variation, and the supply voltage variation. When the device has lower V th, the device operates faster, for higher value of V th, circuit operation becomes slower. According to the speed of operation the circuit can operate in five different modes: Typical-Typical(tt), Fast nmos-fast pmos(ff), Slow nmos-slow pmos(ss), Slow nmos-fast pmos(snfp), and Fast nmos-slow pmos(fnsp). Following tables summarize different pre-layout and the post layout simulated results of individual subcircuits. Snapshot of the fabricated chip has been presented in Figure Conclusions Figure 17 Output of level shifter (level shifting by 610 mv) The entire clock so generated, since has a very low frequency, and since the jitter was to be minimized significantly to a very low value (80 ns), the resistor and the capacitor value hence taken was very high. Accordingly the size of the entire chip became quite

9 A Low Power, Low Jitter DLL Based Low Frequency (250 KHz) Clock Generator 11 Table 1 Process Corner Analysis (Pre-layout simulation) [With load (R = 50Ω, C = 10pF )] Process Jitter Rise Fall Power Corner (ns) time(ns) time(ns) dissipation(mw) tt ff ss snfp fnsp Table 2 Process Corner Analysis (Post-layout simulation) Without load Process Power Jitter Rise Fall Glitch Corner Dissipn(mW) (ns) time(ps) time(ps) (mv) tt ss snfp fnsp ff Table 3 Comparison Table for Pre-layout and Post-layout Simulated Results Observation tt ss snfp fnsp ff Power Pre dissipation(mw) Post Jitter Pre (ns) Post Glitch Pre (mv) Post Rise Pre time(ps) Post Fall Pre time(ps) Post

10 12 P. Ghosal et al. References Figure 19 Snapshot of the fabricated chip large. In many parts of the circuit, a number of buffers of various transistor sizes were implemented to have a very sharp time response of the corresponding output lines. The final 250 khz clock at the output of the frequency divider circuit had a pulse with frequent glitches of 65.7 mv at the high clock edges. These glitches at the final output could significantly be reduced to a value of only 6 to 7 mv by putting a very strong buffer at the output of the frequency divider circuit. Due to this addition of the buffer, the final output can have an almost ideally sharp Rise time-fall time property. These buffers are designed using strong pmos and weak nmos. Fingering technique was used in different design units to reduce the overall power dissipation. The entire clock generator was tested to observe the result when worst case condition for the externally applied reference clock was considered. The entire circuit was again tested putting an external load of C = 10pF and R = 50Ω. Putting the load at the output leads to a significantly high power dissipation in the range of mw. The circuit was also tested under variable V dd, and temperature. Supply voltage variation has a significant effect on the Rise time and Fall time. Performance of the designed clock in terms of power, jitter, delay etc. cannot be compared with other existing clocks as the there is no other clocks in the market with such a low frequency to the best of our knowledge. Kurita, K., Hotta, T., Nakano, T., Kitamura, N., PLL-based BiCMOS on-chip clock generator for very high-speed microprocessor, IEEE Journals of Solid-State Circuits, Vol. 26, Issue 4, , Apr Ching-Yuan Yang, Chih-Hsiang Chang, Wen-Ger Wong, A Σ PLL-based spread-spectrum clock generator with a ditherless fractional topology, IEEE Transactions on Circuits and Systems, Vol. 56, Issue 1, 51-59, Jan Moorthi, S., Meganathan, D., Janarthanan, D., Praveen Kumar, P., J., Raja paul perinbam, Low Jitter ADPLL based Clock Generator for High Speed SoC Applications, Journal of World Academy of Science, Engineering and Technology, Vol. 42, , Arto Rantala, David Gomes Martins, Markku berg, A DLL clock generator for a high speed A/D-converter with 1 ps jitter and skew calibrator with 1 ps precision in 0.35 m CMOS, Journal of Analog Integrated Circuits and Signal Processing, Vol. 50, Issue 1, 69-79, Jan Mesgarzadeh, B., Alvandpour, A., A Low-Power Digital DLL-Based Clock Generator in Open-Loop Mode, IEEE Journal of Solid State Circuits, Vol. 44, Issue 7, , Jul Chulwoo Kim, In-Chul Hwang, Sung-Mo Kang, A lowpower small-area 7.28-ps-jitter 1-GHz DLL-based clock generator, IEEE Journal of Solid State Circuits, Vol. 37, Issue 11, , Nov Acknowledgement This work was partially supported by the grants from Ministry of IT, Govt of India, under the project SMDP- II (Special Manpower Development Project, Phase II) at BESU, Shibpur. Authors are also thankful to Pranab Roy, Sudip Ghosh, and Somsubhra Talapatra for their help during the work.

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters Jefferson A. Hora, Vincent Alan Heramiz,

More information

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT PRADEEP G CHAGASHETTI Mr. H.V. RAVISH ARADHYA Department of E&C Department of E&C R.V.COLLEGE of ENGINEERING R.V.COLLEGE of ENGINEERING Bangalore

More information

Phase Locked Loop Design for Fast Phase and Frequency Acquisition

Phase Locked Loop Design for Fast Phase and Frequency Acquisition Phase Locked Loop Design for Fast Phase and Frequency Acquisition S.Anjaneyulu 1,J.Sreepavani 2,K.Pramidapadma 3,N.Varalakshmi 4,S.Triven 5 Lecturer,Dept.of ECE,SKU College of Engg. & Tech.,Ananthapuramu

More information

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

Domino CMOS Implementation of Power Optimized and High Performance CLA adder Domino CMOS Implementation of Power Optimized and High Performance CLA adder Kistipati Karthik Reddy 1, Jeeru Dinesh Reddy 2 1 PG Student, BMS College of Engineering, Bull temple Road, Bengaluru, India

More information

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Shaik. Yezazul Nishath School Of Electronics Engineering (SENSE) VIT University Chennai, India Abstract This paper outlines

More information

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR Yang-Shyung Shyu * and Jiin-Chuan Wu Dept. of Electronics Engineering, National Chiao-Tung University 1001 Ta-Hsueh Road, Hsin-Chu, 300, Taiwan * E-mail:

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 06, 2015 ISSN (online): 2321-0613 A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati

More information

Design and Implementation of Phase Locked Loop using Current Starved Voltage Controlled Oscillator in GPDK 90nM

Design and Implementation of Phase Locked Loop using Current Starved Voltage Controlled Oscillator in GPDK 90nM International Journal of Advanced Research Foundation Website: www.ijarf.com, Volume 2, Issue 7, July 2015) Design and Implementation of Phase Locked Loop using Starved Voltage Controlled Oscillator in

More information

II. Previous Work. III. New 8T Adder Design

II. Previous Work. III. New 8T Adder Design ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: High Performance Circuit Level Design For Multiplier Arun Kumar

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

Dedication. To Mum and Dad

Dedication. To Mum and Dad Dedication To Mum and Dad Acknowledgment Table of Contents List of Tables List of Figures A B A B 0 1 B A List of Abbreviations Abstract Chapter1 1 Introduction 1.1. Motivation Figure 1. 1 The relative

More information

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers Muhammad Nummer and Manoj Sachdev University of Waterloo, Ontario, Canada mnummer@vlsi.uwaterloo.ca, msachdev@ece.uwaterloo.ca

More information

Designing of Charge Pump for Fast-Locking and Low-Power PLL

Designing of Charge Pump for Fast-Locking and Low-Power PLL Designing of Charge Pump for Fast-Locking and Low-Power PLL Swati Kasht, Sanjay Jaiswal, Dheeraj Jain, Kumkum Verma, Arushi Somani Abstract The specific property of fast locking of PLL is required in many

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING 3 rd Int. Conf. CiiT, Molika, Dec.12-15, 2002 31 DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING M. Stojčev, G. Jovanović Faculty of Electronic Engineering, University of Niš Beogradska

More information

Optimization of Digitally Controlled Oscillator with Low Power

Optimization of Digitally Controlled Oscillator with Low Power IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. I (Nov -Dec. 2015), PP 52-57 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Optimization of Digitally Controlled

More information

Energy Efficient and High Speed Charge-Pump Phase Locked Loop

Energy Efficient and High Speed Charge-Pump Phase Locked Loop Energy Efficient and High Speed Charge-Pump Phase Locked Loop Sherin Mary Enosh M.Tech Student, Dept of Electronics and Communication, St. Joseph's College of Engineering and Technology, Palai, India.

More information

Research on Self-biased PLL Technique for High Speed SERDES Chips

Research on Self-biased PLL Technique for High Speed SERDES Chips 3rd International Conference on Machinery, Materials and Information Technology Applications (ICMMITA 2015) Research on Self-biased PLL Technique for High Speed SERDES Chips Meidong Lin a, Zhiping Wen

More information

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency Jamie E. Reinhold December 15, 2011 Abstract The design, simulation and layout of a UMAINE ECE Morse code Read Only Memory and transmitter

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

Low Power Phase Locked Loop Design with Minimum Jitter

Low Power Phase Locked Loop Design with Minimum Jitter Low Power Phase Locked Loop Design with Minimum Jitter Krishna B. Makwana, Prof. Naresh Patel PG Student (VLSI Technology), Dept. of ECE, Vishwakarma Engineering College, Chandkheda, Gujarat, India Assistant

More information

Low Power Adiabatic Logic Design

Low Power Adiabatic Logic Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 1, Ver. III (Jan.-Feb. 2017), PP 28-34 www.iosrjournals.org Low Power Adiabatic

More information

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal International Journal of Scientific & Engineering Research, Volume 5, Issue 5, May-2014 45 Design and Performance Analysis of a Phase Locked Loop using Differential Voltage Controlled Oscillator Sudatta

More information

PHASE-LOCKED loops (PLLs) are widely used in many

PHASE-LOCKED loops (PLLs) are widely used in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 3, MARCH 2011 149 Built-in Self-Calibration Circuit for Monotonic Digitally Controlled Oscillator Design in 65-nm CMOS Technology

More information

VCO Based Injection-Locked Clock Multiplier with a Continuous Frequency Tracking Loop

VCO Based Injection-Locked Clock Multiplier with a Continuous Frequency Tracking Loop IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 13, Issue 4, Ver. I (Jul.-Aug. 2018), PP 26-30 www.iosrjournals.org VCO Based Injection-Locked

More information

Available online at ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013

Available online at  ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013 Available online at www.sciencedirect.com ScienceDirect Procedia Engineering 64 ( 2013 ) 377 384 International Conference On DESIGN AND MANUFACTURING, IConDM 2013 A Novel Phase Frequency Detector for a

More information

Delay-based clock generator with edge transmission and reset

Delay-based clock generator with edge transmission and reset LETTER IEICE Electronics Express, Vol.11, No.15, 1 8 Delay-based clock generator with edge transmission and reset Hyunsun Mo and Daejeong Kim a) Department of Electronics Engineering, Graduate School,

More information

An Analog Phase-Locked Loop

An Analog Phase-Locked Loop 1 An Analog Phase-Locked Loop Greg Flewelling ABSTRACT This report discusses the design, simulation, and layout of an Analog Phase-Locked Loop (APLL). The circuit consists of five major parts: A differential

More information

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 380 391 A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator Seok KIM 1, Seung-Taek YOO 1,2,

More information

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop Seong-Jin An 1 and Young-Shig Choi 2 Department of Electronic Engineering, Pukyong National University

More information

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP 1 B. Praveen Kumar, 2 G.Rajarajeshwari, 3 J.Anu Infancia 1, 2, 3 PG students / ECE, SNS College of Technology, Coimbatore, (India)

More information

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique ECE1352 Term Paper Low Voltage Phase-Locked Loop Design Technique Name: Eric Hu Student Number: 982123400 Date: Nov. 14, 2002 Table of Contents Abstract pg. 04 Chapter 1 Introduction.. pg. 04 Chapter 2

More information

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector Group Members Uttam Kumar Boda Rajesh Tenukuntla Mohammad M Iftakhar Srikanth Yanamanagandla 1 Table

More information

Low Power High Speed Differential Current Comparator

Low Power High Speed Differential Current Comparator Low Power High Speed Differential Current Comparator Indrani Roy, Suman Biswas, B. S. Patro 2 M.Tech (VLSI & ES) Student, School of Electronics, KIIT University, Bhubaneswar, India Ph.D Scholar, School

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 8, AUGUST 2002 1021 A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle Hsiang-Hui Chang, Student Member, IEEE, Jyh-Woei Lin, Ching-Yuan

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 03, 2015 ISSN (online): 2321-0613 Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni

More information

Design of High Gain Two stage Op-Amp using 90nm Technology

Design of High Gain Two stage Op-Amp using 90nm Technology Design of High Gain Two stage Op-Amp using 90nm Technology Shaik Aqeel 1, P. Krishna Deva 2, C. Mahesh Babu 3 and R.Ganesh 4 1 CVR College of Engineering/UG Student, Hyderabad, India 2 CVR College of Engineering/UG

More information

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition P. K. Rout, B. P. Panda, D. P. Acharya and G. Panda 1 Department of Electronics and Communication Engineering, School of Electrical

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

DESIGN AND ANALYSIS OF PHASE FREQUENCY DETECTOR USING D FLIP-FLOP FOR PLL APPLICATION

DESIGN AND ANALYSIS OF PHASE FREQUENCY DETECTOR USING D FLIP-FLOP FOR PLL APPLICATION International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 9 (2017) pp. 1389-1395 Research India Publications http://www.ripublication.com DESIGN AND ANALYSIS OF PHASE FREQUENCY

More information

FFT Analysis, Simulation of Computational Model and Netlist Model of Digital Phase Locked Loop

FFT Analysis, Simulation of Computational Model and Netlist Model of Digital Phase Locked Loop IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 10 April 2016 ISSN (online): 2349-784X FFT Analysis, Simulation of Computational Model and Netlist Model of Digital Phase

More information

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck Synchronous Mirror Delays ECG 721 Memory Circuit Design Kevin Buck 11/25/2015 Introduction A synchronous mirror delay (SMD) is a type of clock generation circuit Unlike DLLs and PLLs an SMD is an open

More information

Low Power CMOS Digitally Controlled Oscillator Manoj Kumar #1, Sandeep K. Arya #2, Sujata Pandey* 3 and Timsi #4

Low Power CMOS Digitally Controlled Oscillator Manoj Kumar #1, Sandeep K. Arya #2, Sujata Pandey* 3 and Timsi #4 Low CMOS Digitally Controlled Oscillator Manoj Kumar #1, Sandeep K. Arya #2, Sujata Pandey* 3 and Timsi #4 # Department of Electronics & Communication Engineering Guru Jambheshwar University of Science

More information

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage D. Aksin, M.A. Al- Shyoukh, F. Maloberti: "An 11 Bit Sub-Ranging SAR ADC with Input Signal Range of Twice Supply Voltage"; IEEE International Symposium on Circuits and Systems, ISCAS 2007, New Orleans,

More information

Comparison And Performance Analysis Of Phase Frequency Detector With Charge Pump And Voltage Controlled Oscillator For PLL In 180nm Technology

Comparison And Performance Analysis Of Phase Frequency Detector With Charge Pump And Voltage Controlled Oscillator For PLL In 180nm Technology IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 4, Ver. I (Jul - Aug. 2015), PP 22-30 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Comparison And Performance Analysis

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

Active Decap Design Considerations for Optimal Supply Noise Reduction

Active Decap Design Considerations for Optimal Supply Noise Reduction Active Decap Design Considerations for Optimal Supply Noise Reduction Xiongfei Meng and Resve Saleh Dept. of ECE, University of British Columbia, 356 Main Mall, Vancouver, BC, V6T Z4, Canada E-mail: {xmeng,

More information

CMOS Current Starved Voltage Controlled Oscillator Circuit for a Fast Locking PLL

CMOS Current Starved Voltage Controlled Oscillator Circuit for a Fast Locking PLL IEEE INDICON 2015 1570186537 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 60 61 62 63

More information

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas Self-Biased PLL/DLL ECG721 60-minute Final Project Presentation Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas Outline Motivation Self-Biasing Technique Differential Buffer

More information

Current Mode Interconnect

Current Mode Interconnect Department Of Electrical Engineering Indian Institute Of Technology, Bombay March 21, 2009 Inductive peaking: Concept Inductive Peaking for Bandwith Enhancement On-chip interconnects can be modeled as

More information

INF4420 Phase locked loops

INF4420 Phase locked loops INF4420 Phase locked loops Spring 2012 Jørgen Andreas Michaelsen (jorgenam@ifi.uio.no) Outline "Linear" PLLs Linear analysis (phase domain) Charge pump PLLs Delay locked loops (DLLs) Applications Introduction

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

A Low Power Digitally Controlled Oscillator Using 0.18um Technology

A Low Power Digitally Controlled Oscillator Using 0.18um Technology A Low Power Digitally Controlled Oscillator Using 0.18um Technology R. C. Gurjar 1, Rupali Jarwal 2, Ulka Khire 3 1, 2,3 Microelectronics and VLSI Design, Electronics & Instrumentation Engineering department,

More information

All Digital Phase Locked Loop Architecture Design Using Vernier Delay Time-to- Digital Converter

All Digital Phase Locked Loop Architecture Design Using Vernier Delay Time-to- Digital Converter ISSN:1991-8178 Australian Journal of Basic and Applied Sciences Journal home page: www.ajbasweb.com All Digital Phase Locked Loop Architecture Design Using Vernier Delay Time-to- Digital Converter 1 T.M.

More information

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) Proceedings of the International Conference on Emerging Trends in Engineering and Management (ICETEM14) ISSN 0976

More information

Design of Low Power Wake-up Receiver for Wireless Sensor Network

Design of Low Power Wake-up Receiver for Wireless Sensor Network Design of Low Power Wake-up Receiver for Wireless Sensor Network Nikita Patel Dept. of ECE Mody University of Sci. & Tech. Lakshmangarh (Rajasthan), India Satyajit Anand Dept. of ECE Mody University of

More information

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Dr. Saravanan Savadipalayam Venkatachalam Principal and Professor, Department of Mechanical

More information

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System 1 Raj Kumar Mistri, 2 Rahul Ranjan, 1,2 Assistant Professor, RTC Institute of Technology, Anandi, Ranchi, Jharkhand,

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

Study and Implementation of Phase Frequency Detector and Frequency Divider 45nm using CMOS Technology

Study and Implementation of Phase Frequency Detector and Frequency Divider 45nm using CMOS Technology Study and Implementation of Phase Frequency Detector and Frequency Divider 45nm using CMOS Technology Dhaval Modi Electronics and Communication, L. D. College of Engineering, Ahmedabad, India Abstract--This

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

Low Power, Wide Bandwidth Phase Locked Loop Design

Low Power, Wide Bandwidth Phase Locked Loop Design Low Power, Wide Bandwidth Phase Locked Loop Design Hariprasath Venkatram and Taehwan Oh Abstract A low power wide bandwidth phase locked loop is presented in the paper. The phase frequency detector, charge

More information

Single-Stage Vernier Time-to-Digital Converter with Sub-Gate Delay Time Resolution

Single-Stage Vernier Time-to-Digital Converter with Sub-Gate Delay Time Resolution Circuits and Systems, 2011, 2, 365-371 doi:10.4236/cs.2011.24050 Published Online October 2011 (http://www.scirp.org/journal/cs) Single-Stage Vernier Time-to-Digital Converter with Sub-Gate Delay Time

More information

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY Neha Bakawale Departmentof Electronics & Instrumentation Engineering, Shri G. S. Institute of

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements EE290C - Spring 04 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture 11 Components Phase-Locked Loops Viterbi Decoder Borivoje Nikolic March 2, 04. Announcements Homework #2 due

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 10 April 2016 ISSN (online): 2349-784X An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

Research Article Design of an All-Digital Synchronized Frequency Multiplier Based on a Dual-Loop (D/FLL) Architecture

Research Article Design of an All-Digital Synchronized Frequency Multiplier Based on a Dual-Loop (D/FLL) Architecture Hindawi Publishing Corporation VLSI Design Volume 22, Article ID 54622, 7 pages doi:.55/22/54622 Research Article Design of an All-Digital Synchronized Frequency Multiplier Based on a Dual-Loop (D/FLL)

More information

A Fast Locking Digital Phase-Locked Loop using Frequency Difference Stage

A Fast Locking Digital Phase-Locked Loop using Frequency Difference Stage International Journal of Engineering & Technology IJET-IJENS Vol:14 No:04 75 A Fast Locking Digital Phase-Locked Loop using Frequency Difference Stage Mohamed A. Ahmed, Heba A. Shawkey, Hamed A. Elsemary,

More information

A New Phase-Locked Loop with High Speed Phase Frequency Detector and Enhanced Lock-in

A New Phase-Locked Loop with High Speed Phase Frequency Detector and Enhanced Lock-in A New Phase-Locked Loop with High Speed Phase Frequency Detector and Enhanced Lock-in HWANG-CHERNG CHOW and NAN-LIANG YEH Department and Graduate Institute of Electronics Engineering Chang Gung University

More information

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications Duo Sheng 1a), Ching-Che Chung 2,andChen-YiLee 1 1 Department of Electronics Engineering & Institute of

More information

Design of a Capacitor-less Low Dropout Voltage Regulator

Design of a Capacitor-less Low Dropout Voltage Regulator Design of a Capacitor-less Low Dropout Voltage Regulator Sheenam Ahmed 1, Isha Baokar 2, R Sakthivel 3 1 Student, M.Tech VLSI, School of Electronics Engineering, VIT University, Vellore, Tamil Nadu, India

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

DESIGN OF CMOS BASED FM MODULATOR USING 90NM TECHNOLOGY ON CADENCE VIRTUOSO TOOL

DESIGN OF CMOS BASED FM MODULATOR USING 90NM TECHNOLOGY ON CADENCE VIRTUOSO TOOL DESIGN OF CMOS BASED FM MODULATOR USING 90NM TECHNOLOGY ON CADENCE VIRTUOSO TOOL 1 Parmjeet Singh, 2 Rekha Yadav, 1, 2 Electronics and Communication Engineering Department D.C.R.U.S.T. Murthal, 1, 2 Sonepat,

More information

A SiGe 6 Modulus Prescaler for a 60 GHz Frequency Synthesizer

A SiGe 6 Modulus Prescaler for a 60 GHz Frequency Synthesizer A SiGe 6 Modulus Prescaler for a 6 GHz Frequency Synthesizer Noorfazila Kamal,YingboZhu, Said F. Al-Sarawi, Neil H.E. Weste,, and Derek Abbott The School of Electrical & Electronic Engineering, University

More information

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS SURVEY ND EVLUTION OF LOW-POWER FULL-DDER CELLS hmed Sayed and Hussain l-saad Department of Electrical & Computer Engineering University of California Davis, C, U.S.. STRCT In this paper, we survey various

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Engineering, Technology & Applied Science Research Vol. 7, No. 2, 2017, 1473-1477 1473 A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Hamidreza Esmaeili Taheri Department of Electronics

More information

A Novel Continuous-Time Common-Mode Feedback for Low-Voltage Switched-OPAMP

A Novel Continuous-Time Common-Mode Feedback for Low-Voltage Switched-OPAMP 10.4 A Novel Continuous-Time Common-Mode Feedback for Low-oltage Switched-OPAMP M. Ali-Bakhshian Electrical Engineering Dept. Sharif University of Tech. Azadi Ave., Tehran, IRAN alibakhshian@ee.sharif.edu

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

The Use and Design of Synchronous Mirror Delays. Vince DiPuccio ECG 721 Spring 2017

The Use and Design of Synchronous Mirror Delays. Vince DiPuccio ECG 721 Spring 2017 The Use and Design of Synchronous Mirror Delays Vince DiPuccio ECG 721 Spring 2017 Presentation Overview Synchronization circuit Topologies covered in class PLL and DLL pros and cons Synchronous mirror

More information

Multiple Reference Clock Generator

Multiple Reference Clock Generator A White Paper Presented by IPextreme Multiple Reference Clock Generator Digitial IP for Clock Synthesis August 2007 IPextreme, Inc. This paper explains the concept behind the Multiple Reference Clock Generator

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip B. Janani, N.Arunpriya B.E, Dept. of Electronics and Communication Engineering, Panimalar Engineering College/ Anna

More information

A Frequency Synthesis of All Digital Phase Locked Loop

A Frequency Synthesis of All Digital Phase Locked Loop A Frequency Synthesis of All Digital Phase Locked Loop S.Saravanakumar 1, N.Kirthika 2 M.E.VLSI DESIGN Sri Ramakrishna Engineering College Coimbatore, Tamilnadu 1 s.saravanakumar21@gmail.com, 2 kirthi.com@gmail.com

More information

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. II (Nov -Dec. 2015), PP 06-15 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org High-Performance of Domino Logic

More information

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE MS. V.NIVEDITHA 1,D.MARUTHI KUMAR 2 1 PG Scholar in M.Tech, 2 Assistant Professor, Dept. of E.C.E,Srinivasa Ramanujan Institute

More information

A Novel Low Power Digitally Controlled Oscillator with Improved linear Operating Range

A Novel Low Power Digitally Controlled Oscillator with Improved linear Operating Range A Novel Low Power Digitally Controlled Oscillator with Improved linear Operating Range Nasser Erfani Majd, Mojtaba Lotfizad Abstract In this paper, an ultra low power and low jitter 12bit CMOS digitally

More information

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection Somnath Kundu 1, Bongjin Kim 1,2, Chris H. Kim 1 1

More information

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, OL.13, NO.5, OCTOBER, 2013 http://dx.doi.org/10.5573/jsts.2013.13.5.459 A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier Geontae

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 1.1 Historical Background Recent advances in Very Large Scale Integration (VLSI) technologies have made possible the realization of complete systems on a single chip. Since complete

More information