ANALYSIS, DESIGN, AND IMPLEMENTATION OF INTEGRATED CHARGE PUMPS WITH HIGH PERFORMANCE

Size: px
Start display at page:

Download "ANALYSIS, DESIGN, AND IMPLEMENTATION OF INTEGRATED CHARGE PUMPS WITH HIGH PERFORMANCE"

Transcription

1 ANALYSIS, DESIGN, AND IMPLEMENTATION OF INTEGRATED CHARGE PUMPS WITH HIGH PERFORMANCE A Thesis Presented to The Faculty of Graduate Studies of The University of Guelph by YOUNIS ALLASASMEH In partial fulfilment of requirements for the degree of Master of Applied Science Guelph, Ontario, Canada c Younis Allasasmeh, August, 2011

2 ABSTRACT ANALYSIS, DESIGN, AND IMPLEMENTATION OF INTEGRATED CHARGE PUMPS WITH HIGH PERFORMANCE Younis Allasasmeh University of Guelph, 2011 Advisor: Professor Stefano Gregori This thesis presents the design of new integrated charge pumps with high performance. An analysis method is determined to evaluate the voltage gain, the output resistance and the conversion efficiency parameters of integrated charge pumps. An optimization method is developed to improve the performance through capacitor sizing based on area constraints. Several charge pumps structures are optimized and compared including the losses due to devices parasitics. Results show that the Dickson charge pump (voltage doubler) is the best structure for integration. Therefore, techniques to improve performance and conversion efficiency of integrated voltage doubler are proposed. Switch bootstrapping technique prevents short-circuit losses, improves driving capability, and enhances the overall efficiency. The application of charge reuse technique reduces the dynamic power losses of integrated voltage doublers and double charge pumps. A prototype of the integrated voltage doublers was fabricated in a 0.18-µm CMOS process with the proposed techniques. Measured results have been presented, demonstrating the improvements in performance and conversion efficiency, with a good correlation between measured and predicted results.

3 Acknowledgements I would like to take this opportunity to express my sincere appreciation to my advisor Dr. Stefano Gregori for his support and encouragement throughout my research. Without his faith in my abilities and his consistent help, this work would not have been possible. I would also like to thank Dr. Hussein Abdullah, who never let an opportunity pass without lending me his sincere feedback, help, and advice. I deeply appreciate the support from Kapik integration, and I would like to thank Kapik team for the experience they have brought me throughout my internships. Also, I would like to thank CMC for providing the semiconductor fabrication service that made the implementation of my design possible. Thanks to all my friends in the analog Nano-electronics group for their technical help and feedback in the past three years. I am greatful to my relatives and friends in Jordan, Morocco, and Guelph. Thanks for the great help and kindness. Most of all, thanks are owed to my family for their countless care and sacrifice. To my father, Dr. Abdelaziz Allasasmeh. To my mother, Dr. Wafa Alami. To my sisters, Alia, Sarah, and Saja. To my love, Sara Altamimi. To them, I owe all. It was their motivation and unconditional support that guides me throughout this long journey. i

4 Contents 1 Introduction Motivation Literature Review Contributions Thesis Organization Charge Pump Analysis Method of Analysis Charge Pump Gain Ideal Gain Gain with Parasitic Capacitances Charge Pump Output Resistance Analysis of Output Resistance with Parasitic Capacitances Power Losses in Charge Pumps Load-Dependent Losses Load-Independent Losses Analysis of Single-Sided Charge Pumps Optimization of the Output Resistance Single-Sided Charge Pumps with Parasitic Capacitances Analysis of Double Charge Pumps ii

5 CONTENTS iii Double Charge Pumps Performance with Parasitic Capacitances Charge Reuse Simulation Results with Charge Reuse Summary Design Introduction Voltage Doubler Losses and Efficiency Load-Dependent Power Losses Load-Independent Power Losses Short-Circuit Power Losses Proposed Switch Bootstrapping Technique Charge Reuse Technique Charge Reuse Voltage Doubler Design Design Constrains MOS Switches Bootstrapping Circuit Design Trade-Offs Technology Constrains Integrated Capacitors Bulk Biasing Design of CP s Auxiliary Circuits Clock Generation Circuit Inverter Driver Circuit Summary

6 CONTENTS iv 4 Results Introduction Simulation Results Steady-State Transient Analysis Results Prototype Implementation Fabrication Technology Tools and Design Flow Test Setup Realization Layout Considerations Experimental Results Discussion of the Results and Design Considerations Conclusion and Future Work Conclusion Future Work A Testing 89 A.1 View of the Full Chip and the Designed Circuits A.2 Circuits and Pads Arrangement for the Design A.3 Bonding Diagram for the Design A.4 Test Board A.4.1 Package Layout A.4.2 Adding Off-Chip Passive Components A.4.3 Clamping the Package to the Fixture A.5 Schematic View of Circuits B Published Papers 104 B.1 Refereed Publications

7 CONTENTS v Bibliography 105

8 List of Tables 2.1 Heap CP Design Parameters Fibonacci CP Design Parameters Exponential CP Design Parameters Devices available in the fabrication technology Modular CP Design A.1 Signal types and description vi

9 List of Figures 1.1 Four stage conventional Dickson CP [1] Four stage bootstrapped Dickson CP [2] Simplified schematic of the boosted voltage generator for DRAM wordline driver [3] Double charge pump [4] One stage voltage doubler CP [5] Block diagram of a generic charge pump Generic 2-phase CP building block Procedure for evaluating CP gain Integrated capacitor model Procedure for evaluating CP output resistance Schematic diagrams of conventional charge pumps with parasitic capacitances Sketch of capacitors with optimal size of Dickson, heap, and Fibonacci CPs of equal area and gain (i.e. left A = 5, centre A = 8, right A = 13) Normalized input conductance g of Dickson, heap, and Fibonacci CPs as a function of A, when α = 0.1 and β = Normalized output resistance r of Dickson, heap, and Fibonacci CPs as a function of A, when α = 0.1 and β = vii

10 LIST OF FIGURES viii 2.10 Schematic diagrams of double charge pumps Sketch of capacitors with optimal size of double Dickson and double exponential CPs of area and gain (i.e. left A= 4, right A = 8) Charge reuse configuration of a generic double CP Description of charge reuse concept in double charge pumps Schematic diagrams of double charge pumps with charge reuse (parasitic capacitances are omitted for simplicity) Normalized input conductance g versus voltage gain A for the three CP types in standard configuration and with charge reuse, when α = 0.1, and β = Conversion efficiency and output characteristics of the three CP types as a function of the output current I O, when n = 4 for Dickson and heap CPs and N = 3 for the Fibonacci CP, V DD = 1.8 V, C T = 200 pf, f = 10 MHz, α = 0.1, and β = Conventional 2-phases cross-coupled voltage doubler stage phases cross-coupled voltage doubler stage Proposed bootstrapping technique applied to a voltage doubler stage Bootstrapped voltage doubler stage with charge reuse Maximum efficiency versus transistor width for a voltage doubler when N = 1, V DD = 1.8 V, f = 10 MHz, C T = 250 pf, α = 0.015, and β = Bootstrapping capacitor size versus the maximum efficiency CV curve of nmos capacitor (Spectre simulation) Equivalent series resistance of MOS capacitor phases cross-coupled voltage doubler stage with dynamic bulk biasing for pmos switches [6] Nonoverlapping clock generation scheme (detailed schematic is shown in Appendix A)

11 LIST OF FIGURES ix 3.11 A CMOS inverter driver with tapering factor 4 (detailed schematic is shown in appendix A) Schematic diagrams of the conventional voltage doublers Schematic diagrams of the proposed voltage doublers Charge pump block diagram Output characteristics, conversion efficiencies, and input power improvement of a one stage latched and bootstrapped voltage doublers as a function of the output current I O, when N = 1, V DD = 1.8 V, f = 1 MHz, C T = pf, α = 0.015, and β = 0.01 (Spectre simulations) Output characteristics and conversion efficiencies of a one stage latched and bootstrapped voltage doublers, and savings in input power due to switch bootstrapping as a function of the output current I O when N = 1, V DD = 1.8 V, f = 10 MHz, C T = pf, α = 0.015, and β = 0.01 (Spectre simulations) Output characteristics and conversion efficiencies of a two stage latched and bootstrapped voltage doublers, and savings in input power due to switch bootstrapping as a function of the output current I O when N = 1, V DD = 1.8 V, f = 1 MHz, C T = 525 pf, α = 0.015, and β = 0.01 (Spectre simulations) Output characteristics and conversion efficiencies of a two stage latched and bootstrapped voltage doublers, and savings in input power due to switch bootstrapping as a function of the output current I O when N = 1, V DD = 1.8 V, f = 10 MHz, C T = 525 pf, α = 0.015, and β = 0.01 (Spectre simulations) Output characteristics and conversion efficiencies of a two stage bootstrapped voltage doubler and bootstrapped voltage doubler with charge reuse as a function of the output current I O, when N = 2, V DD = 1.8 V, C T = 525 pf, f = 1 MHz, α = 0.015, and β = 0.01 (Spectre simulation)

12 LIST OF FIGURES x 4.9 Output characteristics and conversion efficiencies of a two bootstrapped voltage doubler and bootstrapped voltage doubler with charge reuse as a function of the output current I O, when N = 2, V DD = 1.8 V, C T = 525 pf, f = 10 MHz, α = 0.015, and β = 0.01 (Spectre simulation) Start-up transient with 1 nf capacitive load (two-stage charge pump, V DD = 1.8 V, and f = 10 MHz) (Spectre simulation) Energy consumption versus output current (I O ) of a latched and bootstrapped voltage doublers with 1nF capacitive load (two-stage charge pump, V DD = 1.8 V, f = 1 MHz) (Spectre simulation) Simulated waveforms of the current drawn from the power supply of the proposed charge reuse bootstrapped charge pump and the bootstrapped charge pump (two-stage charge pump, V DD = 1.8 V, f = 10 MHz) (Spectre simulation) Diagram of the analog design flow used in the design (adapted from CMC) Photograph of the 24-pin CFP package containing the fabricated chip Layout of the designed test board Block diagram of the experimental setup Chip design layout Microphotograph of the design; the chip size is 1 mm 1.5 mm A microphotograph showing circuits designed in a one stage bootstrapped voltage doubler Measured and simulated output characteristic and conversion efficiency of a fully integrated two stage bootstrapped voltage doubler as a function of the output current I O, when V DD = 1.8 V, f = 1 MHz Measured and simulated output characteristic and conversion efficiency of a fully integrated two stage bootstrapped voltage doubler with charge reuse as a function of the output current I O, when V DD = 1.8 V, f = 1 MHz

13 LIST OF FIGURES xi 4.22 Measured and simulated improvement in input power consumption of the two-stages bootstrapped voltage doubler with charge reuse with respect to the two-stages bootstrapped voltage doubler as a function of the output current I O, when V DD = 1.8 V, f = 1 MHz Measured and simulated output characteristics and conversion efficiency of a fully integrated two stage cross-coupled (latched) voltage doubler as a function of the output current I O, when V DD = 1.8 V, f = 1 MHz Measured and simulated output characteristic and conversion efficiency of a fully integrated one stage bootstrapped voltage doubler as a function of the output current I O, when V DD = 1.8 V, f = 1 MHz Measured and simulated output characteristics and conversion efficiency of a fully integrated one stage cross-coupled (latched) voltage doubler as a function of the output current I O, when V DD = 1.8 V, f = 1 MHz Measured and simulated improvement in input power consumption of the one stage bootstrapped voltage doubler with respect to the one stage latched voltage doubler as a function of the output current I O, when V DD = 1.8 V, f = 1 MHz Measured and simulated improvement in input power consumption of the two stages bootstrapped voltage doubler with respect to the two stages latched voltage doubler as a function of the output current I O, when V DD = 1.8 V, f = 1 MHz Measured load independent power losses versus input supply voltage of two-stage voltage doublers bootstrapped, latched, and bootstrapped with charge reuse at f = 1 MHz Measured maximum efficiencies versus frequency of two stage voltage doublers latched, bootstrapped, and bootstrapped with charge reuse at a supply voltage V DD = 1.8 V

14 LIST OF FIGURES xii 4.30 Measured and calculated [7] output resistance of the two stage bootstrapped voltage doublers at a supply voltage V DD = 1.8 V with parasitic resistance of 120 Ω Proposed bootstrapping technique in a modular CP stage used to build generic double CPs (e.g. doubler-based CP, heap CP, Fibonacci CP, and exponential CP) Proposed bootstrapping technique in a modular CP stage used to build any two-phase double CP A.1 Top view of the designed chip schematic A.2 Block view of the six circuits A.3 Chip layout and pads arrangement A.4 Bonding diagram for the design A.5 Photograph of the fabricated test board A.6 Technical drawing of the 24-pin CFP package (Spectrum Semiconductor, Inc) A.7 Circuit 1 schematic (2stMVD) A.8 Circuit 2 schematic (1stMVD) A.9 Circuit 3 schematic (2stMVDDBB) A.10 Circuit 4 schematic (2stCSDBB) A.11 Circuit 5 schematic (1stCCVD) A.12 Circuit 6 schematic (2stCCVD) A.13 Clock generation circuit schematic

15 Chapter 1. Introduction Chapter 1 Introduction 1.1 Motivation Charge pumps (CPs) are power converters that convert the power supply voltage to higher or lower constant (DC) voltages. Charge pumps transfer charge packets from the power supply to the output terminal using only capacitors and switches to generate the required voltage level, thereby allowing integrated implementations. In microsystems, charge pumps are usually fully built on-chip, rather than off-chip, to simplify chip and board design and reduce costs. Integrated implementations of charge pumps exploit integrated capacitors as storage elements and transistors as transfer switches, where the drain and source terminals are the two switch terminals, and the gate terminal is used to control the switch state. Many MOS-based systems such as Flash memories, DRAMs, OTPs, RS-232 transceivers, and driver circuits require multiple supply voltage levels for their functional blocks and therefore are equipped with charge pumps. Integrating the CP and other functional blocks on the same die is critical for footprint and cost reduction, however, it presents unique design challenges in terms of power efficiency, device reliability, driving capability, and performance. The first and most important challenge is power efficiency; charge pumps with low 1

16 Chapter 1. Introduction 2 power efficiency limit the benefit of power conversion on chip. It is desirable to increase charge pumps efficiency not only in battery-powered systems, but also in many applications with common supply voltages to reduce the integrated circuits packaging cost because of heat dissipation. A second challenge involves the driving capability; for some applications, a wide range of load currents and output voltages are desirable. However, it is of particular importance that charge pumps are designed to function effectively for certain steady-state operating points with minimum silicon area. In addition, the down-scaling of oxide thickness of MOS devices increases the oxide leakage currents and lessens the oxide breakdown voltage, which in turn limits the maximum voltages that can be safely handled on chip. The reliability of MOS structures is primarily determined by three threatening mechanisms namely punchthrough, oxide breakdown, and well-diffusion junction breakdown. In typical CMOS design, the first two factors happen at lower voltages than the well-substrate junction breakdown. The start-up time is an important factor in integrated charge pumps because start-up time limits the functionality and the performance of other blocks, also a faster start-up time can reduce the CP energy consumption during transients and improve the overall efficiency. Finally, the output voltage ripple is a critical design specification; larger output ripple degrades the performance of some functions. In particular, the ripple at the output of a charge pump can have a negative impact on sensitive analog circuits such as reference voltage generators, op-amps, and charge pump control circuitry. 1.2 Literature Review The first widely used monolithic charge pump is the Dickson charge pump [1]. This circuit, shown in Fig. 1.1, uses diode connected (N) MOS transistors and a chain of capacitors (C) driven by two complementary phases φ 1 and φ 2 to transfer charges from the power supply

17 Chapter 1. Introduction 3 Figure 1.1: Four stage conventional Dickson CP [1]. at a voltage V DD to the load capacitor C L at a higher voltage. The ratio between the output voltage and the input voltage is the conversion ratio. The main drawback of this configuration is the threshold voltage drop associated with the diode connected transistors. At higher conversion ratios, the performance is even worse because of the increased threshold voltage due to the body effect. Moreover, conversion ratios decrease at low-supply voltages since the threshold voltage shift cannot be scaled down. In the bootstrapped Dickson CP [2], limitations of the switch on resistance, low conduction, and voltage drop associated with diode connected transistors are alleviated by introducing an additional MOS switch N b and capacitor C b for boosting the gate voltage of the main switches N as shown in Fig This implementation needs devices able to withstand high voltages and the generation of four nonoverlapping clock phases (φ 1, φ 2, φ 3, and φ 4 ), which also prevent short-circuit currents from nodes at higher voltages to nodes at lower voltages. However, when the diode connected transistor of the output stage is forward biased, it causes a voltage loss equal to the diode threshold voltage. This reduction is particularly critical in the presence of low voltage power supplies. A word-line driver with a boosted voltage generator is employed to improve DRAMs performance [3]. The boosted voltage generator is conceived with cross-coupled nmos transistors driven by the nonoverlapping phases φ 1 and φ 2. In this configuration, shown

18 Chapter 1. Introduction 4 Figure 1.2: Four stage bootstrapped Dickson CP [2]. in Fig. 1.3, a controlled serial switch N S is required at the output to obtain a constant DC output voltage. The output switch is controlled with a feedback technique by utilizing two additional charge pump circuits, an inverter, and two additional clock phases φ 3 and φ 4. To improve the performance of charge pumps, the double charge pump in Fig. 1.4 was conceived to reduce the output ripple by feeding the load in each half period using the same total capacitance [4]. The transfer capacitors of the last stage (C = C ) are alternately charged to the voltage of the previous stage (V p ) and then boosted by the same voltage level to charge the load at a higher output voltage. The clock signals of φ 1 and φ 2 are bootstrapped to the same level as V p to connect the two capacitors in series. The voltage doubler of Fig. 1.5 usually consists two latched CMOS pairs in each stage [5]. The complementary voltage swings of the internal nodes are used to control the switches of opposite branches. This circuit eliminates the voltage drop at the output switches, reduces the output voltage ripple, and uses only two nonoverlapping phases. Moreover, the voltage across each transistor is never higher than the power supply voltage V DD. At high output currents, the overdrive voltage decreases causing the output resistance to rise due to higher switch resistance, thus increasing resistive power losses and reducing power efficiency and driving capability. Moreover, a short-circuit loss from higher voltage

19 Chapter 1. Introduction 5 Figure 1.3: Simplified schematic of the boosted voltage generator for DRAM word-line driver [3]. Figure 1.4: Double charge pump [4].

20 Chapter 1. Introduction 6 nodes to lower voltage node exists during transitions. The resulting short-circuit current reduces the charge pump efficiency and output voltage. The two series pmos transistors (P and P ) in Fig. 1.5 act as charge-transfer devices to provide a constant output voltage at the output. If the well potential is too low, the vertical parasitic bipolar transistors create a leakage path to the substrate. As an effort to solve this issue, the pmos well potential is kept higher than the source and drain terminals by means of a bulk biasing circuit [6]. The solution involves a switching circuit that connects the well to the highest potential. Moreover, the pmos transistors are driven independently by an additional level shifter to improve their conductivity. However, the implementation is constrained by an input supply voltage of one third the device voltage rating specified in the process. In the conventional voltage doubler [5], the complementary voltage transitions of internal nodes occur simultaneously during switching. The resulting short-circuit currents can be reduced by exploiting two parallel stages to generate control signals of the main transfer switches [8], or by using four nonoverlapping phases and bootstrapping the pmos switches [9]. In these implementations, at high output currents, the voltage driving the switches decreases, therefore, reducing both the driving capability and the power efficiency. To overcome the limitation of the charge pump driving capability, an unconventional boosting technique to control switches is suitable for cascaded voltage doubler operating at low supply voltages [10]. The auxiliary boosting circuit generates the proper control signals from the main clock phases. The solution enhances the driving capability and allows the use of low voltage devices, but does not eleminate short-circuit losses. The maximum power efficiency is limited by dynamic power losses due to charging and discharging the parasitic capacitances. Reusing some of the charges that are normally wasted for charging and discharging parasitic capacitances at each cycle is a promising approach for reducing power dissipation in charge pumps [11]. This technique improves the power efficiency and reduces electromagnetic emission of conventional bootstrapped

21 Chapter 1. Introduction 7 V DD N' P' N P C L 0 C C' V DD V DD Figure 1.5: One stage voltage doubler CP [5]. Dickson charge pumps. Several charge pump topologies with a voltage gain which increases at a higher rate than the number of stages have been proposed in the literature. The Fibonacci charge pump [12] achieves the highest voltage gain for a given number of capacitors [13]. Another CP structure with a high voltage gain and based on double implementation is the exponential charge pump [14] and [15], which has a voltage gain that increases exponentially with the number of stages. However, it should be pointed out that, as a result the high voltage rise per stage, the output voltage is limited only by the fabrication process and the constraint on the minimum oxide thickness of integrated devices forces the use of a high-voltage thick oxide devices. The heap charge pump represents a different topology that achieves the same ideal gain as the Dickson charge pump for a given number of stages [16]. In this topology, the transfer capacitors are alternately connected in parallel to the input supply voltage and then connected in series to charge the output terminal to a higher voltage level. The maximum voltage across any of the transfer capacitors is only equal to the input voltage, regardless

22 Chapter 1. Introduction 8 of the number of stages, which allow the structure to use low-voltage capacitors. 1.3 Contributions The work presented here provides analysis, design, and implementation guidelines to enable successful on-chip integration of charge pumps. Six integrated charge pump circuits were designed and fabricated in a TSMC 0.18-µm CMOS process. The aim of this research is to develop approaches that reduce power losses with less area than existing conventional CP circuits. Design trade-offs are discussed, including a test chip design and testing. The main contributions of this thesis are summarized as: Determination of an analysis method for evaluating integrated charge pumps performance and optimizing their design. Application of the charge reuse concept to effectively reduce the dynamic power losses of integrated double charge pumps. Development of a switch bootstrapping technique for double charge pumps. The technique prevents short-circuit losses, improves driving capability, and enables efficient operation at low supply voltages. Implementation of six integrated circuits in a 0.18-µm digital process and comparison of experimental results. 1.4 Thesis Organization The rest of the thesis is organized as follows: Chapter 2 introduces an analysis method to evaluate and optimize the performance of integrated single-sided charge pumps and double charge pumps, and the application of charge reuse in integrated charge pumps. Chapter 3 examines the design limitations of integrated voltage doublers and provides an overview on the design procedure of proposed integrated voltage doubler in standard CMOS process. Chapter 4 presents the implementation of the designed circuits and shows simulation and

23 Chapter 1. Introduction 9 experimental results. The thesis concludes in chapter 5 with the discussion of the obtained results and future developments.

24 Chapter 2. Charge Pump Analysis Chapter 2 Charge Pump Analysis 2.1 Method of Analysis In order to design efficient on-chip charge pumps, a careful analysis must be done. The method described here is based on the pioneering work on switched-capacitor circuit analysis [17]. The method is suitable for networks containing switches, capacitors, and voltage sources as illustrated in Fig The circuit is described effectively by means of switching matrices, a capacitance matrix, and a voltage source matrix. The MOS switches are modelled as ideal switches with zero on resistance, capacitors as linear elements, and voltage sources as ideal sources. The analysis is done under the following assumptions. First of all, each switch changes its state (on, off) instantaneously at each switch event t k, where t k is an instant of time when at least one switch in the circuit changes state. Furthermore, slow switching conditions are assumed, where the switching period is much longer than time constants due to capacitances and resistances of integrated components and interconnects. Each switching period consists of k consecutive non-overlapping fragments known as phases, which define the state of the switches in every fragment (t k, t k+1 ) and, hence, the charge transfer between capacitors. Finally, the circuit is analyzed in steady-state conditions, where the capacitor voltages are periodic steady-state waveforms. 10

25 Chapter 2. Charge Pump Analysis 11 Figure 2.1: Block diagram of a generic charge pump C Figure 2.2: Generic 2-phase CP building block.

26 Chapter 2. Charge Pump Analysis 12 In each phase, the nodes in the CP circuit are grouped into l separate parts, each part is either a set of nodes connected by closed switches or an isolated node as shown in Fig Therefore, a k-phase CP with n nodes is described by k switching matrices S k, with n rows and n columns, to record the CP switching activity. By assigning appropriate numbers to the nodes, the switching matrix elements are defined according to their connection in the switching phase k as follows: S k (i, j) = 1 if i is the node with the lowest number in a separate part of the closed switch network, and node j belongs to that separate part 0 otherwise (2.1) where 1 i j and 1 j n. A n n capacitance matrix C describes the CP capacitors in terms of their values, node connections, and parasitics, and can be expressed as total capacitance connected permanently to node i if i = j C(i, j) = negative of the total capacitance between i and j if i j (2.2) The CP independent voltage source and ground (i.e. grounded switches are connected to a zero value voltage source) connections are described by an n 2 matrix G, whose elements are defined as 1 if the h-th voltage source is connected to node i G(i, h) = 0 otherwise (2.3) where 1 i n and 1 h 2. The capacitance matrix and the voltage sources matrix do not change as the switches change states. The node voltages are represented by the (n 1) vector v(t k ), which defines

27 Chapter 2. Charge Pump Analysis 13 the voltage between the i-th node and ground at switch event t k. The charges delivered by the independent voltage sources are represented by the (2 1) vector q I (t k ), which denotes the charge passed through the h-th voltage source from switch event t k to switch event t k+1. In each phase, the closure of the switches imposes a set of (n l + 2) KVL equations and l charge conservation equations, from which we find the corresponding nodes voltage at time t k and charges delivered by the sources during the interval (t k, t k+1 ). For a complete solution, conservation equations can be compactly expressed as: v I (t k ) S k Cv(t k 1 ) = G T 0 S k C + S T k I S kg v(t k) q I (t k ), (2.4) where v I (t k ) is a (2 1) vector which represents the independent voltage sources, and I is the n n identity matrix. The (n + 2) (n + 2) matrix Φ k in (2.4) can be rearranged to obtain a solution for the nodes voltage v(t k ) and the delivered charges q I (t k ) as follows: v(t k ) = A k v I (t k ) + B k S k Cv(t k 1 ) (2.5) and q I (t k ) = R k v I (t k ) + O k S k Cv(t k 1 ), (2.6) where A k and B k are the upper-left n 2 submatrix and the upper-right n n submatrix of Φ 1 k, respectively. R k and O k are the lower-left 2 2 submatrix and the lower-right 2 n submatrix of Φ 1 k, respectively. In the case of a CP operating with two phases, in steadystate v(t k 1 ) = v(t k+1 ) and v(t k ) = v(t k+2 ), therefore the CP voltage nodes and delivered charges can be calculated.

28 Chapter 2. Charge Pump Analysis Charge Pump Gain Figure 2.3: Procedure for evaluating CP gain. CPs achieve capacitive voltage conversion by means of transfer capacitors and switches driven by nonoverlapping clock phases. Each transfer capacitor is charged to a certain voltage level and then it is boosted by another voltage level resulting in a voltage increase at the output terminal. Since CP circuits do not use inductors, they are well suited for integrated implementations in planar conventional technologies Ideal Gain The voltage gain A is defined as the ratio between the maximum open-circuit output voltage V O and the input voltage V DD (assumed constant). Since no current is delivered to the load, dependencies on the switching frequency and capacitances values are eliminated. When ideal capacitors are assumed, the gain depends only on the number of capacitors N, the number of phases, and the topology, which, in turn, determines how the transfer capacitors are interconnected in each phase. The procedure for evaluating the voltage gain includes disconnecting any load at the output and finding the output voltage as shown in Fig. 2.3.

29 Chapter 2. Charge Pump Analysis 15 Bottom C Top C C Substrate Figure 2.4: Integrated capacitor model Gain with Parasitic Capacitances A key reason why the gain of a real integrated CP deviates from the ideal is the unavoidable presence of parasitic capacitances, which share a portion of each charge packet transferred between transfer capacitors resulting in reduced gain. Parasitic capacitances are expressed by the technological parameters α and β, which give the stray parasitic capacitances α C (between bottom plate and substrate) and β C (between top plate and substrate) of any integrated capacitor C as shown in Fig The value of α and β are determined by the process and the type of the integrated capacitors used (integrated capacitors are discussed in detail in Chapter 3). To assess the impact of parasitic capacitances on the voltage gain A, their values are included in the capacitance matrix C by modelling the total capacitance connected permanently to a node as (α + 1)C or (β + 1)C [18]. The gain with parasitic elements is lower than the ideal gain, because a portion of each charge packet transferred between stages is shared with the parasitic capacitors and wasted. 2.3 Charge Pump Output Resistance In the case of ideal linear elements, the procedure for evaluating the output resistance involves turning off the input voltage V DD, applying an ideal source V X to the output, and calculating the ratio between the voltage and the average current of the applied source as shown in Fig In a two-phase CP the output resistance [19] is given by

30 Chapter 2. Charge Pump Analysis 16 I x = q x f s V IN = 0 Charge pump V x Figure 2.5: Procedure for evaluating CP output resistance. R O = r f C T, (2.7) where f is the switching frequency, C T is the value of the total capacitance defined as the sum of the capacitances of all transfer capacitors C T = N i=1 C i, and r is a constant that depends on circuit topology which can be expressed as r = N a 2 ci, (2.8) i=1 where N is the number of capacitors and a ci = q i /q X is the charge multiplier factor, which is the ratio of the charge q i, transferred by capacitor C i in a period, and the charge q X delivered to the load. The charge multiplier factors are calculated by applying charge conservation to the circuit in phase 1 and 2, and by considering that, in steady-state, each capacitor receives and delivers the same charge in each of the two phases Analysis of Output Resistance with Parasitic Capacitances To evaluate the effect of parasitic elements on the output resistance, we include αc i and βc i in the capacitance matrix, turn off V DD, connect a voltage source at the output, apply the method above one more time, and find the charge q X delivered by the voltage source during the switching period, the corresponding current, and thus the output resistance. The output resistance with parasitic elements is lower than the ideal, because it is inversely

31 Chapter 2. Charge Pump Analysis 17 proportional to the node capacitances that increase with the parasitics. 2.4 Power Losses in Charge Pumps Charge pumps transfer charge packets from the power supply at a voltage V DD to an output terminal at a higher voltage V O. In this operation, CPs dissipate a portion of the input power and may reduce the benefit of scaling the supply voltage down. The energy efficiency is defined as the average power delivered to the load divided by the average of input power. Power losses arise mainly from capacitor charging and discharging losses, resistive conduction losses, and losses due to parasitic capacitances and short-circuit currents. The highest efficiency is achieved in slow switching conditions. In such conditions and in steady-state, the main power losses are described by a simple model and can be divided into load dependent losses and load independent losses [20] Load-Dependent Losses Load-dependent losses are revealed when the charge pump is connected to a load and the output voltage decreases in the presence of a load current I O > 0. These losses are modelled through a non-zero equivalent output resistance R O and the corresponding power dissipation is P LD = R O IO 2. (2.9) This formula indicates that lower load dependent losses can be achieved by reducing the output resistance R O given in (2.7) which is inversely proportional to the product of the switching frequency f and the total capacitance C T.

32 Chapter 2. Charge Pump Analysis Load-Independent Losses Load independent losses are revealed when the CP is not connected to any load and it still dissipates power. These losses mostly arise from charging and discharging parasitic capacitances and are also called dynamic losses. They are modelled through a non-zero equivalent input conductance G I and the corresponding power dissipation is P LI = G I V 2 DD. (2.10) The dynamic losses of switch drivers and other auxiliary functions could be incorporated in the model as well. However, for the present we focus our analysis only on the charge pump core. In this case, the input conductance is proportional to the product of the switching frequency and the total capacitance: G I = fc T g, (2.11) where g is a constant that depends on circuit topology and parasitic capacitances. The procedure for evaluating the input conductance involves disconnecting the output load and calculating the charge delivered by the source V DD to the CP as shown in Fig The output power of a charge pump (i.e. the power delivered to the load) is P O = V O I O = (A V DD R O I O ) I O, (2.12) Assuming the gain A > 1, the charge pump has a conversion efficiency given by η = P O P O + P LD + P LI = A V DD I O R O I 2 O A V DD I O + G I V 2 DD, (2.13)

33 Chapter 2. Charge Pump Analysis 19 which is maximum when the output current is equal to Î O = G I V DD A ( ) A G I R O. (2.14) In this condition, the ratio P LI P LD = A2 G I R O ( 1 A 2 G I R O ) 2, (2.15) is larger than one for any acceptable value of A, G I, and R O. In other words, at peak efficiency, load-independent losses are larger than load-dependent losses (i.e. P LI > P LD at I O = ÎO). In general, load-independent losses dominate at low currents such that 0 I O < V DD GI /R O, (2.16) while for higher currents load-dependent losses are larger. Therefore minimizing load independent losses is a crucial design objective, especially for charge pumps meant to operate at peak efficiency or at low currents. 2.5 Analysis of Single-Sided Charge Pumps Several single-sided CP structures have been proposed in the literature, each suited to meet specific application requirements and address process constrains. Single-sided CPs transfer charge packets to the load once every switching period. Indeed, the differences between CPs structures correspond to the configuration of their capacitors and switches in each phase. Exploring different CPs structures is motivated by choosing the appropriate structure in order to maximize the efficiency. In the Dickson CPs in Fig. 2.6(a) [2], MOS switches controlled by non-overlapping control phases eliminate the voltage drops associated with the diodes used in the classic

34 Chapter 2. Charge Pump Analysis 20 configuration [1]. Each transfer capacitor is charged to the voltage of the preceding stage and then boosted by V DD to charge the next stage at a higher voltage. Ideally, a circuit with N stages has a voltage gain A = N + 1, an output resistance R O = N 2 /(fc T ), and an input conductance G I = 0. In the heap CP in Fig. 2.6(b) [16], the voltage across each capacitor never exceeds V DD making this type of CPs attractive for implementations in low-voltage processes. A heap CP with N stages has an ideal voltage gain A = N +1, an output resistance R O = N 2 /(fc T ), and an input conductance G I = 0. The Fibonacci CP with three capacitors shown in Fig. 2.6(c) [12] has the same ideal gain as the Dickson and the heap CPs with four capacitors (Figs. 2.6(a) and 2.6(b)). This two phase CP single-sided structure has the highest attainable gain for a given number of capacitors [13]. The gain of an ideal Fibonacci CP with N stages is A = F N+1, where F N is the N-th Fibonacci number, with F 0 = F 1 = 1 and F i = F i 1 + F i 2 for i > 1. In the case of equal transfer capacitors C i = C T /N, the charge multiplier factors are a ci = F N i for i = 1 to N, the output resistance of this topology is R O = N f C T N i=1 (F N i) 2, and the input conductance G I = Optimization of the Output Resistance To minimize the output resistance of any CP for a constant total capacitance C T, we substitute C 1 = C T C 2... C N in (2.7) and we set the partials with respect to capacitors C i equal to zero, which means for i = 2 to N [18]. R O = 1 ( ) C i f a 2 ci a2 ci = 0, (2.17) C T C 2... C N Ci 2 Since the available silicon area is a critical constraint for a designer, the CPs capacitor sizes, which are the largest portion of an integrated CP, are optimized to improve CPs per-

35 Chapter 2. Charge Pump Analysis 21 V DD C 1 1 C 2 2 C 1 3 C V O I O C 1 C 2 C 3 C 4 Load (C 1 +C 3 ) (C 2 +C 4 ) V DD 0 V DD (a) Four-stage Dickson CP. V DD V 2 O C 1 C 2 C 3 C 4 I O C 1 C 2 C 3 C Load 1 C 1 C 2 C 3 C (b) Four-stage heap CP. (c) Three-stage Fibonacci CP. Figure 2.6: Schematic diagrams of conventional charge pumps with parasitic capacitances. formance. Considering the three structures (i.e. the Dickson, the heap, and the Fibonacci) and the calculated charge multiplier factors for each structure, the optimal capacitor sizes are found. The optimal performance of an N-stage CP is not necessarily obtained when capacitances are equal, but when they scale as a function of the charge multiplier factor.

36 Chapter 2. Charge Pump Analysis 22 A = 5 A = 8 A = 13 Fibonacci C 1 C 2 C 3 Fibonacci C 1 C 2 C 3 C 4 Fibonacci C 1 C 2 C 3 C 4 C 5 Dickson C 1 C 2 C 3 C 4 Dickson C 1 C 2 C 3 C 4 C 5 C 6 C 7 Dickson C 1 C 2 C 3 C 4 C 5 C 6 C 7 C 8 C 9 C 10 C 11 C 12 Heap C 1 C 2 C 3 C 4 Heap C 1 C 2 C 3 C 4 C 5 C 6 C 7 Heap C 1 C 2 C 3 C 4 C 5 C 6 C 7 C 8 C 9 C 10 C 11 C 12 Figure 2.7: Sketch of capacitors with optimal size of Dickson, heap, and Fibonacci CPs of equal area and gain (i.e. left A = 5, centre A = 8, right A = 13). For instance, the optimal performance of an N-stage Fibonacci CP is when capacitors are scaled as the Fibonacci sequence with the largest capacitor next to V DD and the smallest next to the load. When the capacitors are optimized as shown in Fig. 2.7, the three CPs have a similar performance. In this case, the trade-off between gain A and output resistance can be expressed as [18]: R O = (A 1)2 fc T. (2.18) Single-Sided Charge Pumps with Parasitic Capacitances The design parameters for the CPs shown in Fig. 2.6 are calculated as a function of α and β. For the Dickson CP the gain is A = N 1 + β + 1, (2.19) the output resistance is with R O = r = r fc T, (2.20) N2 (1 + β), (2.21)

37 Chapter 2. Charge Pump Analysis Dickson Fibonacci Heap g Voltage Gain A Figure 2.8: Normalized input conductance g of Dickson, heap, and Fibonacci CPs as a function of A, when α = 0.1 and β = and the input conductance is G I = fc T α + β + αβ 1 + β, (2.22) with g = α + β + αβ 1 + β. (2.23) The analytical expressions for A, r, and g in the case of the optimized heap and the Fibonacci CPs are collected in Table 2.1 and 2.2. The performance comparison indicates that the Dickson CP performs the best since bottom plate parasitics α does not contribute to the gain reduction as in other structures where a significant portion of charges delivered to the output is shared with the parasitic capacitances αc i associated with the bottom plate of the transfer capacitors. Also, the input conductance of the Dickson CP is independent of the number of stages. Accordingly, the load-independent losses of Dickson CPs depend only on α and β, the total capacitance, the switching frequency, and VDD 2. On the other hand, the heap CP has the worst performance, exhibiting a much lower gain than other topologies at large number of stages N.

38 Chapter 2. Charge Pump Analysis Dickson Fibonacci Heap r Voltage Gain A Figure 2.9: Normalized output resistance r of Dickson, heap, and Fibonacci CPs as a function of A, when α = 0.1 and β = N Table 2.1: Heap CP Design Parameters. Parameters 1 A = β r = 1 1+β g = α+β+αβ 1+β 2+β 2 A = 1 + r = 1+α+(3+α)β+β 2 2(2+α+β) (1+α+(3+α)β+β 2 ) g = (5+α+2β)(α+β+αβ) 2(1+α+(3+α)β+β 2 (2+α+β)(2+α+(4+α)β+β 2 ) 1+α 2 (1+β)+β(2+β)(3+β)+α(3+2β(3+β)) 3(1+α+β)(3+α+β) r = (1+α 2 (1+β)+β(2+β)(3+β)+α(3+2β(3+β))) g = ((α+β+αβ)(14+α2 +4α(2+β)+β(14+3β))) (3(1+α 2 (1+β)+β(2+β)(3+β)+α(3+2β(3+β)))) ((1+α+(3+α)β+β 2 )(5+α 2 +β(5+β)+α(5+2β))) (α 3 (1+β)+α(3+β)(2+3β(2+β))+α 2 (5+3β(3+β))+(1+β)(1+β(3+β) 2 )) 4(2+α+β)(2+α 2 +2α(2+β)+β(4+β)) (α 3 (1+β)+α(3+β)(2+3β(2+β))+α 2 (5+3β(3+β))+(1+β)(1+β(3+β) 2 )) g = ((α+β+αβ)(14+α2 +4α(2+β)+β(14+3β))) (3(1+α 2 (1+β)+β(2+β)(3+β)+α(3+2β(3+β)))) 3 A = 4 A = r =

39 Chapter 2. Charge Pump Analysis 25 N Table 2.2: Fibonacci CP Design Parameters. Parameters 1 A = β r = 1 1+β g = α+β+αβ 1+β 2 A = β r = 4 1+β g = α+β+αβ 1+β 3 A = α(1+β)+(2+β)(5+2β(4+β)) (1+β)(2+α+(5+α)β+2β 2 ) r = 4 2α(1+β)+((2+β)(4+5β) (1+β)(2+α+(5+α)β+β 2 g = (α+β+αβ)(3α(1+β)+(2+β)(7+8β)) 4 A = 4(1+β)(2+α+(5+α)β+2β 2 ) 48+α(1+β)(8+5β)+β(4+β)(35+6β(4+β)) (3+2β)(2+3β)(1+β(3+β))+α 2 (1+β) 2 +α(1+β)(7+β(16+7β)) 42+2α 2 (1+β)+α(19+3β(12+5β))+β(109+β(80+17β)) r = 7(3+2β)(2+3β)(1+β(3+β))+α 2 (1+β) 2 +α(1+β)(7+β(16+7β)) g = (α+β+αβ)(108+5α2 (1+β)+α(49+92β+38β 2 )+β( β+42β 2 )) (7(1+α+(3+α)β+β 2 )(6+α+(13+α)β+6β 2 )) Examples of numerical values of g and r for various values of A are respectively shown in Fig. 2.8 and Fig. 2.9, where they are plotted as function of A for α = 0.1 and β = In the Dickson CP, the normalized input conductance g does not change with the number of stages, while the voltage gain A and the output resistance does not depend on the value of α, because the circuit can be built so that the bottom plates of all capacitors are alternately connected to ground and V DD without affecting the charge transfer through the CP. For the Fibonacci and heap CPs, the normalized input conductance g depends on the number of stages because bottom plate parasitic capacitances share a portion of the charge transferred to the output and therefore affect performance. 2.6 Analysis of Double Charge Pumps The output voltage ripple can be reduced by splitting the CP in two parts, each part with half the total capacitance and feeding the load in a different half period [4]. This configuration, called double CP, is usually implemented as a parallel connection of stages operating with opposite phases. Fig shows implementations of double CPs of the circuits seen in the

40 Chapter 2. Charge Pump Analysis 26 last section. The voltage gain A, the output resistance R O, and the input conductance G I are the same as the single-sided CPs when the total capacitance is the same. On the other hand, the voltage ripple defined as the peak to peak variations in the DC output voltage, is halved with respect to the single-sided charge pump and can be expressed as [21] where C L is the load capacitance. V ripple = I O 2 f C L, (2.24) Another CP structure used to achieve a high voltage gain is the exponential CP shown in Fig. 2.10(d). The gain of an ideal exponential CP with N stages is A = 2 N. In the case of equal transfer capacitors C i = C T /(2N), the charge multiplier factors are a ci = 2 2(N i) for i = 1 to N, the output resistance is R O = N f C T N i=1 22(N i), and the input conductance G I = 0. The optimal performance of an exponential CP with N stages is obtained using (2.17), and the minimum output resistance is when capacitors are sized as C i = 2N i 2 N 1 C T. (2.25) A comparison between the optimal capacitors sizes of an ideal Dickson and an ideal exponential CPs with the same performance is shown in Fig Double Charge Pumps Performance with Parasitic Capacitances The analytical expressions for A, r, and g in the case of the optimized exponential CP are collected in Table 2.3, while A, r, and g for the double Dickson, double Fibonacci, and double heap CPs are the same as those of the single-sided implementations. Comparing the performance of the exponential CP to the double Dickson, again the Dickson CP performs the better since bottom plate parasitics α does not contribute to the gain A reduction as in other structures where a significant portion of charges delivered to the output is shared with transfer capacitances bottom plate parasitics and wasted every clock cycle. Also, the

41 Chapter 2. Charge Pump Analysis C' C' C' C' C' C' C' V DD 1 2 V DD V DD 0 1 V DD V DD 0 I O V O Load V DD I O V O Load C C C C C C C V DD 0 V DD 0 V DD 0 V DD 0 (a) Four-stage double Dickson CP (b) Three-stage double Fibonacci CP C' C' C' C' V DD V O I O Load C C C C (c) Four-stage double heap CP. (d) Three-stage double exponential CP. Figure 2.10: Schematic diagrams of double charge pumps. input conductance of the exponential CP depends on the number of stages. Accordingly, the load-independent losses of exponential CPs are higher, because of the voltage swings across the parasitic capacitances larger than V DD. 2.7 Charge Reuse At low output current, the conversion efficiency is largely set by parasitic capacitances. In order to reduce dynamic power losses, charge reusing [11] is investigated to mitigate these losses. If we consider those internal nodes of a conventional charge pump (Fig. 2.6) that are connected to ground through a switch at every cycle, the parasitic capacitances associated with them are charged to a certain voltage and then discharged to 0, therefore the related charge is wasted in every cycle. We can reuse part of that charge (and therefore

42 Chapter 2. Charge Pump Analysis 28 Figure 2.11: Sketch of capacitors with optimal size of double Dickson and double exponential CPs of area and gain (i.e. left A= 4, right A = 8). Table 2.3: Exponential CP Design Parameters. N Parameters 1 A = 2+β 1+β r = 1 1+β g = α+β+αβ 2 A = 3 A = r = g = r = 1+β 2.(2+β) 2 (2+α+(5+α)β+2β 2 ) 3(6+α+3β) (2+α+(5+α)β+2β 2 ) g = 2(6+α+3β)(α+β+αβ) 3(2+α+(5+α)β+2β 2 4.(2+β) 3 α 2 (1+β)+(2+β) 2 (1+4β)+α(2+β)(4+5β) 7(α 2 +6α(2+β)+7(2+β) 2 ) (α 2 (1+β)+(2+β) 2 (1+4β)+α(8+14β+5β 2 )) 4(α+β+αβ)(α2 +6α(2+β)+7(2+β) 2 )C T 7(α 2 (1+β)+(2+β) 2 (1+4β)+α(8+14β+5β 2 ))

43 Chapter 2. Charge Pump Analysis 29 2 V DD IN Charge pump 2 OUT V O I O 1 IN Charge pump 1 OUT Figure 2.12: Charge reuse configuration of a generic double CP. save charges drawn from the power supply), if pairs of such nodes with complementary voltage swings (i.e. 180 out of phase) are equalized before each switch event. Double CPs clocked with opposite phases, have pairs of such nodes in each stage. Thus, charge reuse can be applied to all stages of any double CP [20] as shown in Fig Fig describes the charge reuse concept where an equalization switch driven by an appropriate control signal is used to bring the nodes (X and X ) to an intermediate voltage level. The time required by this operation is much smaller than the time needed for charging the transfer capacitors, because only a small fraction (e.g. α) of the capacitance is involved. Therefore, the time allocated for the equalization has a limited impact on the operating frequency. The principle of charge reuse is based on equalizing the voltages of the parasitic capacitances in each stage. The equalization switch controlled by phase 3 brings both capacitances to an intermediate voltage before each switch event, therefore the amount of charges drawn from the power supply for charging parasitic capacitances is less than the amount needed by conventional CPs. As a consequence, charge reusing reduces the loadindependent losses. As design examples, we consider double Dickson CP, double Fibonacci CP, and a double heap CP. Applying charge reusing requires splitting the circuits into two

44 Chapter 2. Charge Pump Analysis 30 (a) Circuit to describe the charge reuse. (b) Clock phases and internal nodes voltage waveforms. Figure 2.13: Description of charge reuse concept in double charge pumps. symmetrical parts (double CP) driven by complementary control signals and operating in parallel, as shown in Fig Examples of charge reuse application to the heap and Fibonacci CPs are shown in Fig. 2.14(a) and 2.14(b), respectively. In these cases, charge reusing not only reduces G I, it also increases A and R O. 2.8 Simulation Results with Charge Reuse Three CP types (i.e. the Dickson, the heap, and the Fibonacci charge pumps) were designed and simulated with Spectre using MOS switches and poly-diffusion capacitors in a standard 0.18-µm technology. Fig shows the normalized input conductance g versus the gain A. The reduction of g (and consequently of P LI ) for the Dickson CP is 50%. On the other

45 Chapter 2. Charge Pump Analysis 31 (a) Three-stage double Fibonacci CP with charge reuse. (b) Four-stage double heap CP with charge reuse. Figure 2.14: Schematic diagrams of double charge pumps with charge reuse (parasitic capacitances are omitted for simplicity). hand, the improvement for the Fibonacci and heap CPs is less than 50% for gains larger than two and depends on the number of stages. Fig shows the output characteristics and the conversion efficiency η of the three CP types. The results are obtained when N = 4 for Dickson and heap CPs and when N = 3 for the Fibonacci CP. The output characteristics of the Dickson CP is not changed, while the open-circuit gains of the Fibonacci and heap CPs with charge reusing are improved (i.e. 1.9% and 8.7% increase, respectively), because parasitic capacitances draw less charge from the primary charge transfer path. More significantly, charge reusing substantially improves the overall conversion efficiency η in any CP type: The maximum efficiency increases from 52.5% to 63% for the Dickson CP and from

46 Chapter 2. Charge Pump Analysis Dickson Fibonacci Heap Dickson-reuse Fibonacci-reuse Heap-reuse g Voltage Gain A Figure 2.15: Normalized input conductance g versus voltage gain A for the three CP types in standard configuration and with charge reuse, when α = 0.1, and β = % to 31% for the heap CP, and from 43% to 53% for the Fibonacci CP. Reusing wasted charges reduces the current drawn from the power supply and increases the conversion efficiency. 2.9 Summary In this chapter, a method of analysis for evaluating integrated charge pumps performance and optimizing their capacitor sizes is determined. The analysis allows the calculation of the voltage gain A, the output resistance R O, and the input conductance G I and consequently the major power losses (resistive and dynamic power losses) of any integrated CP can be evaluated. Moreover, charge reuse is applied to with the result of reducing the dynamic power losses and improving the overall conversion efficiency. The technique can be applied to any double CP. The application of charge reuse results in reduced dynamic power losses and a significant portion of wasted charges is recovered every clock cycle. The Dickson CP has the best performance in terms of the voltage gain and power efficiency. When charge reuse is considered the double Dickson (voltage doubler) CP has a

47 Chapter 2. Charge Pump Analysis 33 Conversion Efficiency I O ( A) Dickson Fibonacci Heap Dickson-reuse Fibonacci-reuse Heap-reuse (a) Conversion efficiency. V O Dickson Fibonacci Heap Dickson-reuse Fibonacci-reuse Heap-reuse I O ( A) (b) Output characteristics. Figure 2.16: Conversion efficiency and output characteristics of the three CP types as a function of the output current I O, when n = 4 for Dickson and heap CPs and N = 3 for the Fibonacci CP, V DD = 1.8 V, C T = 200 pf, f = 10 MHz, α = 0.1, and β = better performance.

48 Chapter 3. Design Chapter 3 Design 3.1 Introduction The designer of integrated charge pumps has to face the constraints of the fabrication technology. Typically, integrated CMOS circuits share a single substrate, thus the chip layout geometry and the proximity of the process layers to the substrate produce parasitic capacitive couplings. The existence of such parasitics limits the charge pump performance and efficiency. Moreover, the performance of a CP depends critically on how its MOS switches are controlled. First of all, the overdrive voltage applied to turn a switch on determines its on resistance and drain-to-source voltage drop, which, in turn, affect the conversion efficiency and voltage gain. In addition, the maximum and minimum voltages applied to the switch gates affect the dynamic power losses and can be constrained by the device voltage rating. Finally, precision and adjustability in controlling the switch affect the frequency of operation (which trades off with the silicon area required for meeting design specifications) and can prevent short-circuit currents from nodes at higher voltages to nodes at lower voltages during transitions (which affect efficiency). Switch bootstrapping improves conduction during the on state by connecting a given voltage between the gate and source terminals, typically by using a capacitor pre-charged 34

49 Chapter 3. Design 35 during the off state [22]. Moreover, reusing some of the charges that are normally wasted for charging and discharging parasitic capacitances at each cycle is a promising approach for reducing power dissipation in charge pumps [11]. In this chapter, we analyze and discuss the design aspects of integrated voltage doubler. First, the standard voltage doubler limitations are pointed out. Second, we propose a new voltage doubler with a switch bootstrapping technique, where the voltages driving the gates of nmos and pmos switches can be controlled both in terms of voltage swing and timing such that limitations of standard voltage doubler are alleviated. The application of the technique is demonstrated through the design of various voltage doublers. Also, dynamic power losses due to parasitic capacitances are addressed and a method for reducing them through charge reuse is described. Simulations of the various voltage doublers confirm the effectiveness of the proposed techniques which result in an improved overall performance. Technology and design constrains are addressed as well, and design trade-offs are discussed in order to fine tune the circuit components. 3.2 Voltage Doubler In the bootstrapped Dickson CP [2], switch voltage drop, varying on resistance, and low conduction are alleviated by using four non-overlapping clock phases, which also prevent short-circuit currents from nodes at higher voltages to nodes at lower voltages. This implementation needs the generation of four appropriate clock phases and MOS switches able to withstand high voltages. The output voltage ripple can be reduced by splitting the CP in two parts each with half the total capacitance and feeding the load in a different half period [4] as depicted in (2.24). This configuration, called double CP, is usually implemented as cascade connection of voltage doublers [5], [6], which need only two clock phases instead of four. As shown in Fig. 3.1, each modular stage is made of two latched CMOS pairs (N i, P i, N i, P i ),

50 Chapter 3. Design 36 ( ( Figure 3.1: Conventional 2-phases cross-coupled voltage doubler stage. two transfer capacitors (C i, C i ), and two drivers (N Di -P Di, N Di-P Di ), and does not need dedicated bootstrap drivers. V i is the output voltage of the i-th stage and V i is the input voltage. The transfer capacitors of each stage are alternately charged to the voltage of the previous stage and then boosted by V DD to charge the next stage at a higher voltage. The complementary voltage swings on the internal nodes are used to control the switches of opposite branches. Since the maximum voltage rise from V i 1 to V i is V DD, the voltage across each device is never higher than V DD and low voltage MOS switches can be used. In steady state, the operation of the voltage doubler (Fig. 3.1) is as follows; during the first half cycle, φ 1 = V DD and φ 2 = 0, transistors N i, N Di, P i, and P Di are on, and transistors N i, N Di, P i, and P Di are off; transfer capacitor C i is charged to V i 1 through N i and N Di, while transfer capacitor C i is boosted to V i 1+V DD through P i and P Di. During the second half cycle, and transistors N i, N Di, P i, and P Di are turned on, and transistors N i, N Di, P i, and P Di are off; transfer capacitor C i is charged to V i 1, while transfer capacitor C i is boosted to charge next stage to V i 1 + V DD.

51 Chapter 3. Design Losses and Efficiency In slow-switching conditions, the main power losses of integrated charge pumps can be simply classified into load-dependent losses, load-independent losses [23], and short-circuit power losses of phase drivers and main pass transistors Load-Dependent Power Losses When the load current I O > 0, the output voltage V O of a voltage doubler with N stages is reduced because of its non-zero equivalent output resistance R O and can be expressed as [1] V O = ( ) N 1 + β + 1 N I O V DD (3.1) (1 + β) 2 f C i The voltage rise per stage V for a voltage doubler is V = V DD 1 + β R O N I O. (3.2) From (3.1), the maximum output current (i.e. when V O = 0) I Omax is limited to I Omax = N β N 2 f C i V DD. (3.3) In real implementations, the switches are designed with MOS transistors operating in triode region. In particular, CMOS switches are turned on with an overdrive V V t (i.e. for simplicity pmos and nmos switches are assumed to have the same threshold voltage V t ) and their on resistance R ON can be approximated as R ON = 1 k ( V V t ) (3.4) where k = µc ox W/L is the switch transconductance parameter. At high output currents, the overdrive voltage decreases according to (3.2) (i.e. V becomes low). In these

52 Chapter 3. Design 38 conditions the on resistance of each switch increases and if V V t the switches are off. The CP output resistance given by R O = ( N 1 coth (1 + β) 2 f C i fc i R ON ) (3.5) increases as well, thus making the load-dependent losses P LD = R O IO 2 larger. Such losses are particularly significant at high output currents and low V DD, the maximum output current I Omax in (3.3) is therefore reduced and a new maximum output current limit is obtained. In other words, for the MOS switch to conduct in the triode region, it must satisfy the relation V V t (3.6) which imposes an upper bound on I O, and the maximum output current becomes I Omax = (V DD (1 + β)v t ) 2fC i (3.7) Load-Independent Power Losses In integrated voltage doublers, load-independent power losses (also called dynamic or switching losses) can be calculated through the non-zero equivalent input conductance G I as explained in Chapter 2, so that the corresponding power dissipation is approximated as: P LI = α + β + αβ 1 + β fc T V 2 DD (3.8) Accordingly, the load-independent power losses of a voltage doubler depend only on α and β, the switching frequency, the total capacitance, and VDD 2, and are independent from the number of stages. From the analysis in chapter 2, load-independent losses are the major power losses at

53 Chapter 3. Design 39 Figure 3.2: 2-phases cross-coupled voltage doubler stage. low currents. Therefore, based on the design specifications, minimizing load-independent losses for voltage doublers meant to operate at maximum efficiency or at low currents is a critical design consideration. From (2.21), (2.22), and (2.16), a limit condition when load-independent losses in voltage doublers dominate is found and can be expressed as I O α + β + αβ fc TV DD. (3.9) N Short-Circuit Power Losses In the conventional cross-coupled voltage doubler Fig. 3.2, each stage is seen as a CMOS latch, the gates of switches N i, P i and N i P i are driven by the voltage rise on nodes B i and B i. At this point, three major cases of reversion and short-circuit losses are identified. First, in the time slot during transitions when the voltage rise across the stage is higher than the overdrive of pass transistors N i or N i (i.e. V V i 1 + V t ), a reversion current flows from C i or C i back to node V i 1. Second, in the time slot during transitions when the

54 Chapter 3. Design 40 voltage rise across the stage is lower than V i V t (i.e. V V i V t ), pass transistors P i or P i are partially on, causing a reversion current from node V i back to C i and C i. Third, the short time slot, when the CMOS pairs N i -P i and N i -P i are conducting simultaneously, generates a short-circuit current from the higher-voltage node V i to the lower-voltage node V i 1. All these losses can degrade the CP efficiency and the output voltage [24]. The shortcircuit power consumption depends mainly on the voltage rise per stage V, the input transition time τ, the threshold voltage V t, and transfer capacitors (C i, C i ) [25] P SC = P SC (k, V t, V, τ, f, C i ). (3.10) Short-circuit losses are particularly significant at low output currents, when V is high compared to V t, while they are negligible when V 2V t (3.11) A limit condition on the output current I O range where short circuit losses are significant, can be obtained from (3.2) and (3.11) I O 2 f C i (V DD 2 (1 + β) V t ) (3.12) The problem can be alleviated by driving pmos switches with level shifters generating nonoverlapping control signals varying from 0 to V i [6] or by using two parallel stages generating control signals varying from V i 1 to V i [8]. The problem can be solved by using four nonoverlapping clock phases and bootstrapping the pmos pairs [9] or by adding series switches and using five phases [26].

55 Chapter 3. Design 41 Figure 3.3: Proposed bootstrapping technique applied to a voltage doubler stage. 3.4 Proposed Switch Bootstrapping Technique The problem of the increased MOS on resistance (reduced driving capability) can be solved by boosting the voltage driving the main CMOS switches with a voltage swing that does not vary with I O [10], a solution that improves the driving capability at low V DD, but does not alleviate short circuit losses. In order to prevent short-circuit currents and the reduced current driving capability observed in the conventional voltage doubler, a new modular bootstrapping technique that allows full control on MOS switches is proposed [27] and [28]. The circuit in Fig. 3.3, provides both control on the timing of the switch transitions (therefore preventing short-circuit losses) and on the gate voltage swings (therefore improving driving capability). Having same pass transistors, transfer capacitors, drivers, and nonoverlapping phases as the conventional one, the proposed circuit includes an nmos cross-coupled clock booster

56 Chapter 3. Design 42 (N bi, N bi, C ni, C ni) driven by φ 1 and φ 2 and a pmos cross-coupled clock booster (P bi, P bi, C pi, C pi ) driven by φ 1 and φ 2. Short-circuit losses are prevented because the voltages applied between the gate and source terminals of pairs N i - N i and N Di - N Di have nonoverlapping transition times with both voltages low, whereas the gate-to-source voltages of pairs P i - P i and P Di - P Di have complementary transition times with both voltages high. The timing of switch transitions and the nonoverlapping slots can be adjusted by controlling the main clock phases. The amplitude of the gate voltage swings does not depend on output current or number of stages and is controlled by the low and high levels of the main phases, typically varying from 0 to V H = V DD. The corresponding voltage controlling N i and N i goes from V i 1 (off) to V i 1 + V H (on) and the voltage controlling P i and P i goes from V i V H (on) to V i (off). In steady state, the maximum voltage across any switch is V DD and internal voltages are within the range from 0 to the maximum CP output voltage. 3.5 Charge Reuse Technique Since load-independent losses due to parasitic capacitances have a strong impact on conversion efficiency. Dynamic power losses can be reduced by reusing some of the charges wasted in charging or discharging the parasitic capacitances each cycle [11], [20]. In particular, if we consider those internal nodes of a voltage doubler that are connected to ground through a switch at every cycle and have complementary voltage swings, the parasitic capacitances associated with them are charged to a certain voltage and then discharged to 0, therefore a part of that charge can be reused (and therefore the input conductance is reduced). This can be accomplished if we redirect some of the charges wasted at falling nodes to charge parasitic capacitances at rising nodes before each switch event. To that end, switches driven by appropriate control signals are used to equalize the

57 Chapter 3. Design 43 voltages of the parasitic capacitances. The time required by this operation is much smaller than the time needed for charging the transfer capacitors, because only a small fraction (e.g. α, typically 1.5% to 20%) of the capacitance is involved and equalization switches are sized to complete charge reuse within each nonoverlapping time slot. Therefore, the time allocated for the equalization has a limited impact on the voltage doubler operation. Furthermore, the control signal can be generated through a NOR gate directly from the nonoverlapping control phases that are already needed to avoid short-circuit losses Charge Reuse Voltage Doubler Design The design of a voltage doubler stage with charge reuse is shown in Fig The parasitic capacitances αc i and αc i are alternately charged to V DD and discharged to 0. The equalization switch controlled by a NOR circuit brings both capacitances to V DD /2 before each switch event, therefore the amount of charges drawn from the power supply for charging parasitic capacitances is half the amount needed by the conventional circuit. As a consequence, charge reusing can reduce the load independent losses by a factor two. Circuit analysis confirms that the input conductance of the voltage doubler CPs with charge reuse is half that of conventional voltage doubler CPs: G I = fc T α + β + αβ 2 (1 + β), (3.13) while the voltage gain A and the output resistance R O are unchanged. 3.6 Design Constrains The design of efficient and high performance CPs is usually associated with several design concerns that need to be addressed. Design considerations on MOS switches and bootstrapping circuits play an important role in the proper operation of the charge pump.

58 Chapter 3. Design 44 Figure 3.4: Bootstrapped voltage doubler stage with charge reuse MOS Switches The use of MOS transistors as switches requires that switches are designed appropriately. MOS switches with a large aspect ratio are required mainly for three reasons. First, large switches (i.e. with low on resistances R ON ) reduce resistive power losses. Second, to ensure a small time constant (i.e. fast transient) of the charge transfer paths, large switches are needed. Third, charge pumps require large switches if they have to deliver large currents. In addition, the maximum switching frequencies at which a charge pump can operate depend on the time constants of the individual stages. Each stage can be viewed as an RC network, which needs MOS switches to have a relatively low on resistances so that capacitor voltages can settle within the clock semi-period. Therefore, a number of time constants within the half clock cycle are required for a complete charge transfer, and the following relation must hold:

59 Chapter 3. Design 45 T ON >> R ON C i (3.14) A frequency increase requires a reduction in the on-resistance of transfer switches, which can be obtained by increasing the transistors aspect ratios (W/L), which also requires larger drivers to maintain sharp transitions, and call for longer nonoverlapping time (due to larger gate capacitance and, hence, transition times). This increases the contributions of the switches parasitic capacitances that adds to the capacitor parasitics, and, hence, reduces the voltage gain A, increases the dynamic power losses, and reduces the efficiency Bootstrapping Circuit A key design issue of the proposed circuit involves sizing the boosting capacitor adequately to bootstrap the gate of the pass transistors with the required overdrive voltage. The boosted voltage on the gate of the pass transistor is reduced because loading capacitance C load (here we refer to MOS pass transistor capacitances and other parasitic capacitances) share a portion of the charge. The added bootstrapping circuits are not on the primary charge transfer path. However, these capacitors must be able to supply sufficient voltage swing to the gate of the pass transistor and other parasitic capacitances. The boosted voltage can be expressed as C N V g = V i 1 + V DD. (3.15) C N + C load In this design, the values of the bootstrapping capacitors C N are approximately 10 times C load. This ensures that corresponding voltages controlling pass transistors are within the required range. Furthermore, the precharge transistors (N bi, N bi,p bi, P bi ) allow bootstrapping capacitors to be charged to the required voltage level. The time required for such operation (RC delay) is much less than the time required for charging transfer capacitors, because bootstrapping capacitors are small and depend mainly on the gate size of the pass

60 Chapter 3. Design 46 transistor. Therefore, the area of precharge transistors is small as well Design Trade-Offs To achieve satisfactory functional and performance results of the proposed design, several Spectre simulations were performed in the 0.18-µm technology. Fine tuning of the voltage doubler components such as pass transistors and bootstrapping capacitor sizes was done to maximize efficiency and reduce area. Fig. 3.5 demonstrates the maximum efficiency of a one-stage voltage doubler as a function of the width of the pass transistors. In this design, the width of pmos pass transistors is scaled with respect to the width of nmos pass transistors according to the mobility ratio µ n /µ p, which is about 2.5. At smaller transistors widths, the maximum efficiency is limited by the high on resistance of the switches. On the other hand, increasing the width of the switches gives rise to dynamic power losses due to the intrinsic parasitic capacitances of the switches. Max Efficiency Pass transistor width ( m) Figure 3.5: Maximum efficiency versus transistor width for a voltage doubler when N = 1, V DD = 1.8 V, f = 10 MHz, C T = 250 pf, α = 0.015, and β = Fig. 3.6 shows the maximum efficiency of a one-stage voltage doubler as a function of

61 Chapter 3. Design 47 the bootstrapping capacitor size. As the bootstrapping capacitor size increases, the maximum efficiency increase. Further increase in the bootstrapping capacitor size will result in an increased area occupation and reduced maximum efficiency since larger drivers are required to drive these capacitors and the associated parasitics. Max Efficiency Capacitance (pf) Figure 3.6: Bootstrapping capacitor size versus the maximum efficiency. 3.7 Technology Constrains In this section, we examine issues related to design and implementation of fully integrated voltage doublers in standard CMOS process. While in off-chip implementations the critical design constraints are number of discrete components and board complexity, in on-chip realization the key cost constraint is silicon area occupation. The area allocated to integrated capacitors and switches depends on technological parameters, design specifications, and layout optimization. However, technology limitations, some of which are pointed out in this section, determine the integrated devices characteristics.

62 Chapter 3. Design Integrated Capacitors In a digital CMOS technology, capacitors are made by superimposition of conductive and dielectric layers such as polysilicon, metal, or diffused layers and dielectric layers of silicon dioxide (SiO 2 ) or silicon nitride (Si 3 N 4 ). The performance of a charge pump depends critically on the properties of integrated capacitors, and in particular on parasitic capacitances (expressed by the technological parameters α and β), equivalent series resistance, and capacitance per unit area. Metal-metal capacitors can be constructed in an interleaved configuration to maximize the capacitance utilization between available metal layers. To be more specific, capacitors constructed with metal layers have a much smaller series resistance (hence time constant) and can therefore operate at higher frequencies [29]. However, the specific capacitance of metal-metal structures in standard CMOS processes is low, because metal layers for interconnections are separated by thick oxide layers (e.g µm in the considered technology) to have minimal capacitive couplings. Such constraint limits the capacitance that can be integrated in a reasonable silicon area and ultimately limits the CP driving capability. In addition, metal-metal capacitors have high parasitic coupling to the substrate with respect to the specific capacitance (up to 20%) and the parasitic capacitances αc i and βc i are particularly high when the distance between the utilized metal layers and substrate is low. MOS capacitors are constructed between a polysilicon layer and a diffused layer. These layers are separated by a thin oxide layer (e.g. 4.1 nm in the considered technology) and the capacitance per unit area is very high. The capacitance value that can be built in a specific area depends on the technological parameter C ox (the capacitance per unit area of the gate oxide) multiplied by the gate area [30]. The voltage dependence of the gate-to-channel capacitance is limited for the considered design range. The effect of the gate-to-source voltage on the gate-to-channel capacitance is shown in Fig The stray parasitic capacitances αc i (between doped silicon and substrate) and βc i (between the polysilicon layer and the substrate) associated with capacitor C i are lower than other available structures. To

63 Chapter 3. Design 49 Figure 3.7: CV curve of nmos capacitor (Spectre simulation). Figure 3.8: Equivalent series resistance of MOS capacitor. realize a specific capacitance value, both the length L and the width W of the polysilicon layer are scaled. As a result, the related parasitic resistance, known as equivalent series resistance, arises from both the gate sheet resistance R g and the channel resistance R ch. The ESR of a MOS capacitor is shown in Fig. 3.8 and is given by [31] The polysilicon gate resistance can be expressed as ESR R ch 4 + R g. (3.16) R g = R W L (3.17)

64 Chapter 3. Design 50 where R is the polysilicon sheet resistance. The channel resistance can be approximated with R ch = L µc ox W(V GS V th ) (3.18) To this end, any large integrated capacitor is usually constructed as a set of parallel optimal units to minimize the related ESR. Given a specific capacitance area the number of required parallel devices and their geometry can be found by considering the derivative of (3.16) and setting it equal to zero. This procedure not only reduces resistive power losses, but also improves the intrinsic time constant of the MOS capacitors used in this design Bulk Biasing Beside the higher carrier mobility, nmos switches share the same substrate, therefore PN junctions are always reversed biased. A problem with the pmos switches is that lower n-well potential results in a current loss injected into the substrate. The problem can be alleviated by switching the bulk of pmos switches to the higher voltage between source and drain [6]. The voltage doubler shown in Fig. 3.9 includes an additional circuit to bias the bulk of the pmos switches. The bulk biaser circuit is constructed with the auxiliary pmos switches P S, P S, and the capacitor C S to keep the bulk of the main pmos switches at voltage level V S V O. This is important when a small load capacitor C L is used or a large output current is delivered, since the voltage ripple will increase according to (2.24). Also, it should be noted that minimum-sized devices are used because the biasing capacitor C S is not connected to the load.

65 Chapter 3. Design 51 P S V DD N' P' C S V O N P 0 C L R L C C' P S 0 0 Figure 3.9: 2-phases cross-coupled voltage doubler stage with dynamic bulk biasing for pmos switches [6]. 3.8 Design of CP s Auxiliary Circuits Clock Generation Circuit An external 50% duty-cycle reference clock cannot usually be utilized directly, but it is used as an input for a clock generator, which produces the nonoverlapping clock signals. The timing of switch transitions and the nonoverlapping slots depend on the clock generation circuit shown in Fig Such circuit is simple and includes only cross-coupled NAND gates, inverters, and even number of delay blocks. Each delay block is conceived by means of an inverter and a voltage-controlled RC network that consists of a transmission gate and an nmos capacitor. Nonoverlapping time slots of the generated phases (φ 1 and φ 2 ) depend mainly on the low to high and the high to low propagation delays through the cascaded delay blocks. For this design, to ensure enough time to control the switches, a longer nonoverlapping time has been favored in order to prevent short-circuit power losses in the drivers and main pass transistors. Nonoverlapping time was also controlled via an external DC voltage to control the resistance of the transmission gate in the RC network and the corresponding nonoverlapping time.

66 Chapter 3. Design 52 Figure 3.10: Nonoverlapping clock generation scheme (detailed schematic is shown in Appendix A). Figure 3.11: A CMOS inverter driver with tapering factor 4 (detailed schematic is shown in appendix A) Inverter Driver Circuit Large transfer switches and related interconnects present a large capacitive load on the clock phases path, therefore clock drivers are necessary to maintain sharp transitions and reduce short circuit losses. To increase the energy efficiency of a charge pump, drivers are designed so that the power dissipation in the driver chain is minimized. Designers often choose low-power tapered driver chains, which are constructed with cascaded inverter stages whose sizes increase progressively by a scaling factor S, as an example, stages are scaled with S = 4 to minimize the power delay product as shown in Fig In each inverter stage, pmos transistors are scaled with respect to the nmos transistors according to the mobility ratio [32]. As a result, the output transitions of each inverter have equal rise and fall time delay.

67 Chapter 3. Design Summary This chapter discusses the fundamental design constraints of integrated voltage doublers. Integrated devices capabilities and associated power losses in conventional designs are addressed with focus on resistive, dynamic, and short-circuit power losses. With all these design aspects in mind, a new switch bootstrapping technique is proposed to overcome these limitations and prevent short-circuit losses, improve driving capability, and enhance the overall conversion efficiency. Furthermore, a charge reuse technique is applied with the result of reducing the dynamic power losses. Design and technology constrains are discussed to optimize design parameters.

68 Chapter 4. Results Chapter 4 Results 4.1 Introduction This chapter presents the simulation results, prototype implementation, and experimental results of the integrated voltage doublers. First, key simulation results of the designed voltage doublers are shown and discussed at nominal process (TT), supply voltage (1.8 V) and temperature (27 C). The clock signal applied is increased from 1 MHz to 10 MHz. This frequency range indicates a design trade-off required to meet the design specifications within a reasonable silicon area, operating at lower frequencies reduces the dynamic power losses while increasing the frequency scales up the output current that the voltage doubler can deliver. In addition, the design implementation and the test method used to characterize the design are discussed in details. Finally, the performance of the fabricated voltage doublers is presented and explained. Measured and simulated results are compared. 4.2 Simulation Results This section presents key simulations and their results so as to provide a functional and performance reference for the fabricated chip. To verify the improvements achieved by the proposed switch bootstrapping technique and the charge reusing technique, voltage dou- 54

69 Chapter 4. Results 55 (a) One-stage latched voltage doubler. (b) Two-stage latched voltage doubler. Figure 4.1: Schematic diagrams of the conventional voltage doublers. blers with the proposed techniques (Fig. 4.2) are simulated and compared with conventional voltage doublers (Fig. 4.1). The simulated circuits are designed in 0.18-µm technology with 3.3 V devices and can be summarized as follows:

70 Chapter 4. Results 56 (a) One-stage bootstrapped voltage doubler. (b) Two-stage bootstrapped voltage doubler. (c) Two-stage bootstrapped voltage doubler with charge reuse. Figure 4.2: Schematic diagrams of the proposed voltage doublers.

71 Chapter 4. Results 57 One-stage latched voltage doubler (Fig. 4.1(a)). Two-stage latched voltage doubler (Fig. 4.1(b)). One-stage bootstrapped voltage doubler (Fig. 4.2(a)). Two-stage bootstrapped voltage doubler (Fig. 4.2(b)). Two-stage bootstrapped voltage doubler with charge reuse (Fig. 4.2(c)). To have a fair comparison, all voltage doublers are designed under same specifications which include pf stage capacitance, 1.8 V supply voltage, same clock frequency, and the same sizes of charge transfer switches. Moreover, each voltage doubler has the following building blocks as shown in Fig. 4.3: V DD V DD V DD Global Clock Clock Generation Drivers Charge Pump V O I O Load Figure 4.3: Charge pump block diagram. Non-overlapping clock generation circuit. Drivers. Charge pump core Steady-State Conversion efficiency, output characteristics, and input power consumption are evaluated in steady-state conditions. The conversion efficiency is calculated as the average output power divided by the average input power including the power dissipation of the drivers and the

72 Chapter 4. Results 58 nonoverlapping clock generation circuits. The simulated output resistance is calculated as the change in the average output voltage divided by the corresponding change in the output current. (a) Output characteristics. (b) Conversion efficiencies. Input power % Load current (ma) Input power (c) Improvement in input power Figure 4.4: Output characteristics, conversion efficiencies, and input power improvement of a one stage latched and bootstrapped voltage doublers as a function of the output current I O, when N = 1, V DD = 1.8 V, f = 1 MHz, C T = pf, α = 0.015, and β = 0.01 (Spectre simulations) One-Stage Voltage Doublers Comparison Performance of the one-stage bootstrapped voltage doubler (Fig. 4.2(a)) and the one-stage latched voltage doubler (Fig. 4.2(a)) is compared. Both voltage doublers are designed to achieve a voltage gain of A = 2 and to deliver an output current I O from 0 A to 2 ma.

73 Chapter 4. Results 59 (a) Output characteristics. (b) Conversion efficiencies. (c) Improvement in input power. Figure 4.5: Output characteristics and conversion efficiencies of a one stage latched and bootstrapped voltage doublers, and savings in input power due to switch bootstrapping as a function of the output current I O when N = 1, V DD = 1.8 V, f = 10 MHz, C T = pf, α = 0.015, and β = 0.01 (Spectre simulations). Fig. 4.4(a) and Fig. 4.5(a) present the simulated output characteristic at 1 MHz and 10 MHz, respectively. Simulation results show that the bootstrapped voltage doubler gives an open-circuit output voltage of 3.58 V, as compared 3.57 V provided by the latched voltage doubler because of the smaller top plate parasitic capacitance βc in the bootstrapped voltage doubler. The simulated output resistance is nearly constant (R O = 3.81 kω), while the output resistance of latched voltage doubler increases significantly (because of the surge in on resistance of the pass transistors) when the load current is high enough to reduce the value of V, with the output voltage dropping to zero when V < V t. The conver-

74 Chapter 4. Results 60 sion efficiency as a function of the load current is shown in Fig. 4.4(b) and Fig. 4.5(b) for both voltage doublers at 1 MHz and 10 MHz, respectively. The maximum efficiency of the bootstrapped voltage doubler is 82.02% when I O = 90 µa at f = 1 MHz and 80.67% when I O = 0.9 ma at f = 10 MHz, while the maximum efficiency of the latched voltage doubler is 81.84% when I O = 90 µa at f = 1 MHz and 80.53% when I O = 0.9 ma at f = 10 MHz. At any frequency, the efficiency of the bootstrapped doubler is improved at both low and high load currents. At low output current, the maximum improvement in the input power consumption is about 17% when I O = 0 as shown in Fig. 4.4(c) and Fig. 4.5(c) because short-circuit losses are prevented and parasitic capacitances of the pass transistors do not increase the value of β at the voltage doubler internal nodes. At high load current, the efficiency is significantly improved as well because of the nearly constant output resistance Two-Stage Voltage Doublers Comparison Two-stage bootstrapped voltage doubler (Fig. 4.2(b)) and two-stage latched voltage doubler (Fig. 4.1(b)) are designed and simulated. Both voltage doublers are designed to have a voltage gain of A = 3 and deliver an output current I O from 0 A to 2 ma. Fig. 4.6(a) and Fig. 4.7(a) compare the variation of the output voltage as function of the load current I O for the two voltage doublers. The maximum output voltage is 3.59 V for the bootstrapped voltage doubler and 3.54 V for the latched voltage doubler (indeed, the parasitic capacitances of the bootstrapped voltage doubler is slightly smaller because the gate capacitance of the pass transistors are not connected to internal nodes on the charge transfer path). It is also seen that the bootstrapped voltage doubler is able to guarantee a constant value of R O ( 8.51 kω at f = 1 MHz, and 831 Ω at f = 10 MHz) for the whole output current range, while the output resistance of the conventional voltage doubler significantly increases for higher output currents as a consequence of the increased R ON of transfer switches. The efficiency as a function of the load current is shown in Fig. 4.6(b) and Fig. 4.7(b) for both voltage doublers at 1 MHz and 10 MHz, respectively. The maximum power effi-

75 Chapter 4. Results 61 (a) Output characteristics. (b) Conversion efficiencies. (c) Input power improvement. Figure 4.6: Output characteristics and conversion efficiencies of a two stage latched and bootstrapped voltage doublers, and savings in input power due to switch bootstrapping as a function of the output current I O when N = 1, V DD = 1.8 V, f = 1 MHz, C T = 525 pf, α = 0.015, and β = 0.01 (Spectre simulations). ciency of the bootstrapped voltage doubler is about 77.36% at I O = 80 µa and f = 1 MHz and 76.47% at I O = 0.8 ma and f = 10 MHz, while the maximum efficiency of the latched voltage doubler is 77.21% when I O = 80 µa at f = 1 MHz and 75.57% when I O = 0.7 ma at f = 10 MHz. For higher values of I O, the proposed solution guarantees a better energy efficiency. At low I O the input power of the proposed circuit is reduced as well, because short-circuit losses are absent as shown in Fig. 4.6(c).

76 Chapter 4. Results 62 (a) Output characteristics. (b) Conversion efficiencies. (c) Improvement in input power. Figure 4.7: Output characteristics and conversion efficiencies of a two stage latched and bootstrapped voltage doublers, and savings in input power due to switch bootstrapping as a function of the output current I O when N = 1, V DD = 1.8 V, f = 10 MHz, C T = 525 pf, α = 0.015, and β = 0.01 (Spectre simulations) Voltage Doubler with Charge Reuse To evaluate the impact of charge reuse technique, a two-stage bootstrapped voltage doubler with charge reuse was designed as shown in Fig. 4.2(c) and compared to the two stage voltage doubler in Fig. 4.2(b). The output characteristic and efficiency comparison for different load conditions are shown in Fig. 4.8 and Fig. 4.9 at 1 MHz and 10 MHz, respectively. The simulated output characteristics for both voltage doublers are the same, because the f C T product and the number of stages are not changed. The simulated conversion efficiency of the two-stage bootstrapped voltage doubler and

77 Chapter 4. Results 63 (a) Output characteristics. (b) Conversion efficiencies. Figure 4.8: Output characteristics and conversion efficiencies of a two stage bootstrapped voltage doubler and bootstrapped voltage doubler with charge reuse as a function of the output current I O, when N = 2, V DD = 1.8 V, C T = 525 pf, f = 1 MHz, α = 0.015, and β = 0.01 (Spectre simulation). of the two-stage bootstrapped voltage doubler with charge reuse as a function of the load current is shown in and Fig. 4.8(b) and Fig. 4.9(b) for both voltage doublers at 1 MHz and 10 MHz, respectively. The efficiency of the bootstrapped voltage doubler with charge reuse is improved at low and moderate load currents. The reduction of load-independent losses for the voltage doubler with charge reuse is about 30%. Charge reusing improves the overall conversion efficiency substantially because a significant portion of the charges normally wasted through parasitic capacitances is reused. The maximum efficiency of the charge reuse voltage doubler is 80.77% at f = 1 MHz and 79.83% at f = 10 MHz. However, the overall reduction in load-independent losses is less than the theoretical 50% reduction, because there are diminishing effects caused by additional power losses from the charge reuse circuit. The short-circuit current occurs in the charge reuse path and the small amount of parasitic capacitance added by the equalization switch contribute to the overall

78 Chapter 4. Results 64 (a) Output characteristics. (b) Conversion efficiencies. Figure 4.9: Output characteristics and conversion efficiencies of a two bootstrapped voltage doubler and bootstrapped voltage doubler with charge reuse as a function of the output current I O, when N = 2, V DD = 1.8 V, C T = 525 pf, f = 10 MHz, α = 0.015, and β = 0.01 (Spectre simulation). current consumption Transient Analysis Results Rise Time The start-up time of the proposed voltage doubler and the latched voltage doubler are presented with the transient analysis in Fig. 4.10, which shows the output voltage as a function of time. The start-up time is defined as the time taken to boost the output terminal up to 90% of the target voltage and depends on output resistance and total capacitance of the CP, and the load capacitance. The start-up time is evaluated by setting the initial condition of all capacitors to 0 V. The comparison is made with a target voltage of 5.4 V, a supply voltage of 1.8 V, and a 1 nf capacitive load. A significant advantage of the proposed voltage doubler is the faster rise time of the output voltage at the start-up, the simulated start-up for the

79 Chapter 4. Results 65 Figure 4.10: Start-up transient with 1 nf capacitive load (two-stage charge pump, V DD = 1.8 V, and f = 10 MHz) (Spectre simulation). two-stage bootstrapped voltage doubler is 2.26 µs against 4.26 µs for the two-stage latched voltage doubler, i.e. the rise time of the bootstrapped doubler is reduced by 47% compared to the conventional one. The improvement in start-up time results from the smaller on resistance of the bootstrapped switches, because the switches have gate voltage swings varying from 0 to V DD. Figure 4.11: Energy consumption versus output current (I O ) of a latched and bootstrapped voltage doublers with 1nF capacitive load (two-stage charge pump, V DD = 1.8 V, f = 1 MHz) (Spectre simulation). Energy consumption as a function of the output current I O for the proposed and the conventional voltage doublers is shown in Fig Here, the energy consumption is calculated as the integral of the power consumed by the charge pump during the start-up

80 Chapter 4. Results 66 time, i.e. when capacitors are discharged and the voltage increases from 0 V to 90% of the target voltage. The energy consumption of the bootstrapped voltage doubler is independent of the load current because a given voltage is applied between the gate and source terminals of the switches, thus making their on resistance constant and the corresponding start-up time faster. Switch bootstrapping not only improves steady-state performance of voltage doublers, it also enhances their dynamic behaviour by reducing the start-up time which significantly contribute in reducing the related energy consumption. Figure 4.12: Simulated waveforms of the current drawn from the power supply of the proposed charge reuse bootstrapped charge pump and the bootstrapped charge pump (twostage charge pump, V DD = 1.8 V, f = 10 MHz) (Spectre simulation) Charge Reuse Simulated supply current waveforms of the charge reuse bootstrapped voltage doubler and the conventional voltage doubler are shown in Fig A current saving is achieved by charge reuse voltage doubler, where the peak current level of the charge reuse voltage doubler is about 19 ma while it is about 27 ma for the conventional voltage doubler (i.e. here we refer to the bootstrapped voltage doubler shown in Fig. 4.2(b)). Furthermore, the time

81 Chapter 4. Results 67 Table 4.1: Devices available in the fabrication technology. Symbol Device name Description 1.8 V nmos transistor 1.8 V pmos transistor 3.3 V nmos transistor 3.3 V pmos transistor Minimum feature l = 0.18 µm, nominal threshold voltage V t 0.53 V Minimum feature l = 0.18 µm, nominal threshold voltage V t Minimum feature l = 0.35 µm, nominal threshold voltage V t 0.59 V Minimum feature l = 0.3 µm, nominal threshold voltage V t V 3.3 V nmos capacitor C ox 5.4 ff/µm 2 duration of the current peak is smaller in the charge reuse current waveform. As a result, the area under the current waveform which represents the average current consumption is reduced as well, therefore reducing the overall current drawn from the power supply. 4.3 Prototype Implementation Fabrication Technology The voltage doublers are designed and fabricated in a TSMC 0.18-µm CMOS process (nominal supply voltages are 1.8 and 3.3 volts), with a single polysilicon and 6-metal layers, including MIM capacitor and deep n-well layer options. The core area is 1.5 mm 0.66 mm = 1 mm 2, and the total area including bonding pads is 1.5 mm 1 mm = 1.5 mm 2. The chip area is dominated by the area occupied by integrated capacitors. Since the circuit s target is to generate an output voltage higher than the supply voltage, high voltage devices are needed. The technology offers a thick oxide layer that increases the breakdown voltage limit of the transistors making this technology suitable to the design. Devices used in the design of the voltage doublers are described in Table 4.1.

82 Chapter 4. Results 68 Figure 4.13: Diagram of the analog design flow used in the design (adapted from CMC) Tools and Design Flow Mathematical functional verification is performed with Mathematica software at an early stage of the design as explained in the analysis in Chapter 2. To achieve satisfactory functional and performance results, transistor level schematics are created in Cadence Composer and Spectre circuit simulator is used to simulate and optimize the design. The chip layout is drafted using Cadence Virtuoso. After completing the design of the layout, Mentor s Calibre tool is used in coordination with the layout editor for physical verifications purposes. Design rule check (DRC) is performed to avoid violations against all design rules. Layout Versus Schematic (LVS) is carried out to ensure that the netlists created by the schematic match the extracted netlist from the layout. To ensure success of the design

83 Chapter 4. Results 69 process, the analog design flow shown in Fig is followed Test Setup Realization Test issues including packaging, test fixture, and equipment setup are considered during the design in order to characterize and measure the integrated voltage doublers parameters such as voltage gain, output resistance, current consumption, and energy efficiency. Figure 4.14: Photograph of the 24-pin CFP package containing the fabricated chip Package Since the designed circuits need to be connected to test equipment by placing the packaged chip on a test board, the decision on packaging has a strong impact on the design performance, testability, and board fixturing. The die was packaged in 24-pin CFP (Ceramic Flat Package) from Spectrum Semiconductor, Inc as shown in Fig The packaging choice was considered during the design process based on the number of pins that are required for the designed circuits, the area allocated for the die, and the slightly better package parasitics (i.e. about 2.5 nh) compared to the 44-pin CFP alternative which has the drawback of longer leads, larger size, and therefore worse parasitics. A technical drawing of the used

84 Chapter 4. Results 70 package is shown in Appendix A in Fig. A.6. Figure 4.15: Layout of the designed test board Test Fixture After the selection of the appropriate package, a test fixture was developed to connect the packaged chips to the test equipment. The test board was designed using EAGLE PCB-Design software considering footprints of package and off-chip components to ensure the design testability and the input and output requirements for the designed circuits (Fig. 4.15). A one layer FR4 dielectric test board with a minimum 1.34 mil trace was fabricated for the device under test. To maintain constant supply voltages, all input voltage supplies were heavily decoupled by placing large through hole capacitors (e.g. 1 µf). Moreover, 1 nf ceramic output capacitors were added to the board to create load capacitances for the designed voltage doublers. The 24-pin CFP packaged device was interfaced to SMA connectors by soldering the connectors on the test board in order to provide clock signals for the circuits. For mechanical support purpose, the packaged chip was placed in a central recess in the test board and clamped into place by using a translucent plastic clamp.

85 Chapter 4. Results 71 Figure 4.16: Block diagram of the experimental setup Equipment Setup A test setup as shown in Fig was used. Square wave inputs were generated using a BK Precision 4040A function generator to provide the clock signal to the chip. The output voltage of each voltage doubler was captured using a Tektronix DPO7104 digital oscilloscope, capable of capturing waveforms measurements (i.e. amplitude, rise time, mean value, etc.). An Agilent E3630A DC power supply was used to provide a reference voltage for the clock generation circuits in order to control the nonoverlapping time. A Keithley 2602 source meter was utilized because it provides both measuring and sourcing capabilities in DC with very high accuracy and has a Test Script Processor (TSP). Channel A was configured as a DC input voltage source and a current meter, while channel B was configured as a DC output current source and a voltage meter. To conduct tests, a host PC (controller), was programmed to send sequences of commands to the Keithley source meter, which, in turn, executed the commands and returned the captured data to the host PC Layout Considerations Layout is very important in high performance charge pumps. The analog (voltage doublers core) and digital (clock generation and drivers) power supplies were connected to their

86 Chapter 4. Results 72 Figure 4.17: Chip design layout. own separate pads circuitry with wide metal lines. Empty areas were filled with nmos capacitors and connected to the closest power supply to act as decoupling capacitors. The large MOS switches were laid out in an interdigitated fashion with multiple contacts to reduce parasitic capacitances and resistances. To prevent latch-up, double guard rings were placed surrounding each MOS switch. Fig shows the layout of the designed circuits and their pads arrangement which can be summarized as follows: Two-stage bootstrapped voltage doubler without bulk biasing (circuit 1). One-stage bootstrapped voltage doubler (circuit 2). Two-stage bootstrapped voltage doubler (circuit 3). Two-stage bootstrapped voltage doubler with charge reuse (circuit 4). One-stage latched voltage doubler(circuit 5). Two-stage latched voltage doubler (circuit 6). Circuit 1 to circuit 6 power supplies were fed through pads V DD1 to V DD6, clock signals were fed through pads CLK1 to CLK6, and output voltages were connected to pads V O1

87 Chapter 4. Results 73 to V O6, each corresponding to one circuit. Pads CKP 156 and CKP 234 were connected as power supplies for the digital blocks of circuit 1, circuit 5, and circuit 6, and of circuit 2, circuit 3, and circuit 4. Moreover, V REF 156 and V REF 234 were used as a reference voltage for the clock generation blocks of circuit 1, circuit 5, and circuit 6, and of circuit 2, circuit 3, and circuit 4. Figure 4.18: Microphotograph of the design; the chip size is 1 mm 1.5 mm. 4.4 Experimental Results Microphotograph of the fabricated voltage doubler is shown in Fig An enlarged microphotograph of the different building blocks in the one-stage bootstrapped voltage doubler (circuit 2 in Fig. 4.17) is also shown in Fig To validate the design and simulation results, the fabricated voltage doublers were characterized and the measured results were compared with the simulation results. All measurements were carried out with an external load capacitor of 1 nf and a clock frequency of about 1 MHz. The measured output characteristic of the two-stage bootstrapped voltage doubler at a

88 Chapter 4. Results 74 Figure 4.19: A microphotograph showing circuits designed in a one stage bootstrapped voltage doubler. supply voltage of V DD = 1.8 V is shown in Fig. 4.20(a). The measured output resistance is 7.49 kω, which is compared with a calculated value of 7.62 kω. The experimental results show that the two-stage bootstrapped voltage doubler gives an open-circuit output voltage of V, very close to the output voltage of V provided by simulation results. Moreover, the output voltage shows a linear decline with the load current because of the almost constant output resistance. The measured efficiency as a function of the load current is shown in Fig. 4.20(b), and as expected from simulations the maximum efficiency is 78.4% at I O = 90 µa. Fig. 4.21(a) shows the measured output characteristics for the two-stage bootstrapped voltage doubler with charge reuse which is equivalent to the output characteristics of the two-stage bootstrapped doubler. The open circuit output voltage at a supply voltage of V DD = 1.8 V is V. The measured output resistance for f = 1 MHz is about 7.46 kω. The measured efficiency is shown in Fig. 4.21(b), the maximum measured efficiency is 80.01% at I O = 80 µa. Improvement in input power consumption of the charge reuse bootstrapped voltage doubler with respect to the bootstrapped voltage doubler is shown in

89 Chapter 4. Results Simulated Measured V O (V) Load current (ma) (a) Output characteristic. Efficiency Simulated Measured Load current (ma) (b) Efficiency. Figure 4.20: Measured and simulated output characteristic and conversion efficiency of a fully integrated two stage bootstrapped voltage doubler as a function of the output current I O, when V DD = 1.8 V, f = 1 MHz. Fig The maximum measured power savings are found to be 14% at I O = 0 A. The difference between the measured power savings and the simulated ones (i.e. a maximum power savings of 30%) is due to the short nonoverlapping time, which, in turn, affects the time required to equalize the voltages of the parasitic capacitances, and therefore results in a larger average power consumption. On the other hand, measured output characteristics and conversion efficiency of the two-stage latched voltage doubler as a function of the output current I O are shown in Fig at a supply voltage of V DD = 1.8 V. Fig. 4.23(a) shows the output voltage as a function of the load current. The maximum output voltage is V, the output resistance of the latched voltage doubler increases nonlinearly because of the increase in on resistance of the switches when I O is high enough to reduce the value of V, with the output voltage

90 Chapter 4. Results Measured Simulated V O (V) Load current (ma) (a) Output characteristic. Efficiency Simulated Measured Load current (ma) (b) Efficiency. Figure 4.21: Measured and simulated output characteristic and conversion efficiency of a fully integrated two stage bootstrapped voltage doubler with charge reuse as a function of the output current I O, when V DD = 1.8 V, f = 1 MHz. dropping to zero at I Omax = 230 µa, the measured efficiency is shown in Fig. 4.23(b). The maximum measured efficiency is 77.9% at I O = 100 µa. The measured efficiency at high load current is reduced because of the increased on resistance that influences the output resistance. The measured output characteristics of the one-stage bootstrapped voltage doubler at a supply voltage V DD = 1.8 V is shown in Fig. 4.24(a). The measured output resistance is 3.67 kω, which is compared with a calculated value of 3.81 kω. The experimental results show that the one-stage bootstrapped voltage doubler gives an output voltage V, as compared to an output voltage of V provided by simulation results. The output voltage shows a linear decay with any load current because of the nearly constant output resistance. The measured efficiency as a function of the load current is shown in Fig.

91 Chapter 4. Results Input power improvement % Measured Simulated Load current (A) Figure 4.22: Measured and simulated improvement in input power consumption of the two-stages bootstrapped voltage doubler with charge reuse with respect to the two-stages bootstrapped voltage doubler as a function of the output current I O, when V DD = 1.8 V, f = 1 MHz. 4.24(b). The maximum efficiency is 81.76% at I O = 100 µa. Fig shows measured output characteristics and conversion efficiency of the onestage latched voltage doubler at a supply voltage V DD = 1.8 V. The measured open-circuit output voltage is V. The output voltage of the latched voltage doubler falls sharply and becomes 0 V at high load current I Omax = 240 µa because V is reduced progressively due to the higher output resistance R O. The maximum measured efficiency is 81.62%, the efficiency is reduced at high currents because of the increased switches on resistance. As can be seen, there is a good agreement between the predicted and measured results. However, an additional ripple component due to capacitive coupling from the clocks causes inaccuracies in the measured output voltage. Furthermore, parasitic capacitances vary from chip to chip and in general their value cannot be predicted to a sufficiently high accuracy because of their nonlinear voltage dependence. Short-circuit power consumption as a function of the output current is also examined in the one stage voltage doublers (Fig. 4.26) and the two stage voltage doublers (Fig. 4.27). Under no-load condition, the maximum measured improvement in the bootstrapped voltage doubler compared to the latched voltage doubler is 6% for the one stage and 7.5% for the two stage because short-circuit losses are prevented. The improvement in the two stage voltage doubler is higher because short-circuit currents are prevented in four CMOS pairs

92 Chapter 4. Results Simulated Measured V O (V) Load current (ma) (a) Output characteristics. Efficiency Simulated Measured Load current (ma) (b) Efficiency. Figure 4.23: Measured and simulated output characteristics and conversion efficiency of a fully integrated two stage cross-coupled (latched) voltage doubler as a function of the output current I O, when V DD = 1.8 V, f = 1 MHz. instead of two CMOS pairs in the first stage. At higher output currents, the overdrive voltage decreases (i.e. the voltage rise V is lower), and therefore the power losses due to short-circuit currents becomes negligible. Fig shows the measured load-independent power losses (P LI ) as a function of the input supply voltage for the two-stage latched voltage doubler, the two stage bootstrapped voltage doubler, and the two stage bootstrapped voltage doubler with charge reuse. The load-independent power losses are the total input power at no-load condition, and they are dominated by dynamic power losses and short-circuit power losses especially at high switching frequencies (10 MHz and higher). As expressed in (3.10), it is obvious that short-circuit power dissipation for the latched voltage doubler will depend on the voltage rise V, which, in turn, scales with the in-

93 Chapter 4. Results 79 V O (V) Simulated Measured Load current (ma) (a) Output characteristic. V O (V) Simulated Measured Load current (ma) (b) Efficiency. Figure 4.24: Measured and simulated output characteristic and conversion efficiency of a fully integrated one stage bootstrapped voltage doubler as a function of the output current I O, when V DD = 1.8 V, f = 1 MHz. put supply voltage. Due to the employment of the proposed bootstrapping technique, load-independent losses see noticeable improvements, because short-circuit currents are prevented. In particular, a maximum load-independent losses reduction of 11.53% is measured at 2.2 V. The bootstrapped voltage doubler with charge reuse continues to contribute a clear reduction in load-dependent losses. In addition to the short-circuit currents blockage, charge reuse reduces the dynamic power losses of the voltage doubler and improves the overall power efficiency. The measured maximum efficiencies as a function of the clock frequency of the twostage latched voltage doubler, the two stage bootstrapped voltage doubler, and the two-stage bootstrapped voltage doubler with charge reuse are shown in Fig At lower frequencies (i.e. slow-switching conditions), it is obvious that the maximum power efficiency

94 Chapter 4. Results 80 V O (V) Simulated Measured Load current (ma) (a) Output characteristics. Efficiency Simulated Measured Load current (ma) (b) Efficiency. Figure 4.25: Measured and simulated output characteristics and conversion efficiency of a fully integrated one stage cross-coupled (latched) voltage doubler as a function of the output current I O, when V DD = 1.8 V, f = 1 MHz. of the bootstrapped voltage doubler is higher than the latched voltage doubler because short-circuit power losses are prevented and the parasitic capacitances are lower. The bootstrapped voltage with charge reuse has the best efficiency because of power saving due to charge reuse. At faster clock frequencies, the output resistance R O of the voltage doublers increases when the resistances associated with switches, capacitors and interconnect dominate and charges are not fully transferred due to insufficient timing while the switches are turned on. Fig shows the measured output resistance of the two stage bootstrapped voltage doubler as a function of the frequency. The measured results are in good agreement with the model proposed recently in [7]. Overall, as can be seen, the provided experimental results successfully verify the effectiveness of the proposed techniques and there is a good agreement between the predicted

95 Chapter 4. Results 81 Figure 4.26: Measured and simulated improvement in input power consumption of the one stage bootstrapped voltage doubler with respect to the one stage latched voltage doubler as a function of the output current I O, when V DD = 1.8 V, f = 1 MHz. Figure 4.27: Measured and simulated improvement in input power consumption of the two stages bootstrapped voltage doubler with respect to the two stages latched voltage doubler as a function of the output current I O, when V DD = 1.8 V, f = 1 MHz. simulated results and the measured results. 4.5 Discussion of the Results and Design Considerations Switch bootstrapping improves the driving capability of voltage doublers and, at the same time, removes short-circuit losses. Bootstrapped voltage doublers occupy comparable silicon area (overhead < 2%) to that of latched voltage doublers. Each bootstrapping capacitor is about 1 pf. This value is a compromise between a large voltage swing ( V DD ) on the gate of the MOS switch and silicon area. The measured output voltages of the bootstrapped

96 Chapter 4. Results P LI ( W) Charge reuse Bootstrapped Latched Input Voltage (V) Figure 4.28: Measured load independent power losses versus input supply voltage of twostage voltage doublers bootstrapped, latched, and bootstrapped with charge reuse at f = 1 MHz. voltage doublers show a linear decay for any output current indicating that the output resistance is constant because each MOS switch has a given gate voltage swing, and therefore a constant on resistance. This results in higher efficiency when the circuit needs to deliver a higher output current, in contrast to the reduced driving capability of the latched voltage doubler, which impacts the efficiency at high output currents, especially for low voltage applications. In addition, at low output currents unwanted short-circuit losses during switch events reduce the efficiency and the output voltage of the latched voltage doubler, while the efficiency of bootstrapped voltage doubler is improved because the timing of switch events can be controlled, and therefore short-circuit currents can be prevented. The proposed bootstrapping technique is suitable for building reliable voltage doublers with high efficiency at wide range of input voltages and output currents. The charge reuse technique effectively decreases the dynamic power losses and the overall power consumption in the bootstrapped voltage doubler, especially for voltage doublers meant to operate at higher switching frequencies. Dynamic power losses due to charges wasted through parasitic capacitances can be reduced by means of a simple additional circuit and control signals easily generated from the nonoverlapping phases with no perceptible performance degradation for the voltage doubler operation. Since the charge

97 Chapter 4. Results 83 Max efficiency % Charge reuse Bootstrapped Latched f (MHz) Figure 4.29: Measured maximum efficiencies versus frequency of two stage voltage doublers latched, bootstrapped, and bootstrapped with charge reuse at a supply voltage V DD = 1.8 V. reuse path has a finite resistance and the charge reuse circuit consumes power, the maximum power savings will be limited by the the duration of the nonoverlapping time. As an example, at V DD = 1.8 V and f = 1 MHz, power savings are reduced to 14%. The tradeoff between charge reuse circuit complexity, and hence associated area, and the amount of power savings should be carefully considered during the design. The charge reuse technique can be applied to voltage doublers and to any double CP with a small area overhead added by the charge reuse circuit (overhead < 0.3%).

98 Chapter 4. Results Calculation Measurement 5000 R O ( ) f (MHz) Figure 4.30: Measured and calculated [7] output resistance of the two stage bootstrapped voltage doublers at a supply voltage V DD = 1.8 V with parasitic resistance of 120 Ω.

99 Chapter 5. Conclusion and Future Work Chapter 5 Conclusion and Future Work 5.1 Conclusion This dissertation presented techniques to improve performance and conversion efficiency of integrated charge pumps. Switch bootstrapping reduces short-circuit power losses, improves driving capability, and enhances the overall conversion efficiency. The proposed technique uses conventional nonoverlapping phases and is suitable for building high-efficiency charge pumps without high voltage stress across the MOS switches, thereby it can be scaled to any number of stages limited only by CMOS process constrains. The application of charge reuse is shown to be highly effective in reducing dynamic power losses and improving the overall conversion efficiency. Charge reuse can be applied to bootstrapped voltage doublers and to any properly driven double charge pumps. A significant portion of the charges normally wasted through parasitic capacitances can be reused by means of small additional switches and control signals easily generated from the nonoverlapping phases used in high-efficiency bootstrapped charge pumps. A prototype of the voltage doublers with the proposed techniques was fabricated in a 0.18-µm CMOS process. The effectiveness of the proposed bootstrapping and charge reusing techniques is demonstrated through experimental results. The simulation and experimental results are in good agreement with 85

100 Chapter 5. Conclusion and Future Work 86 Table 5.1: Modular CP Design. Terminal Heap Fibonacci Exponential 1 V DD V i 1 V i 1 2 V i V i V i 3 V i 1 V i 2 V i 1 the analysis. The specific research contributions of this work include the following: 1. Determination of an analysis method suitable for any integrated charge pump. 2. Modelling, optimizing, and comparing the output resistance and the conversion efficiency of different charge pump circuits. 3. Development of a switch bootstrapping technique for voltage doublers. The technique improves driving capability, prevents short-circuit losses, and enables efficient operation at low supply voltages. 4. Application of the charge reuse concept to effectively reduce the dynamic power losses of integrated double charge pumps. 5. Prototype implementation in a 0.18-µm CMOS process and discussion of experimental results. 5.2 Future Work An interesting development of this research will involve using ideas described in this thesis to design other double charge pumps. The proposed bootstrapping techniques can be

101 Chapter 5. Conclusion and Future Work 87 Figure 5.1: Proposed bootstrapping technique in a modular CP stage used to build generic double CPs (e.g. doubler-based CP, heap CP, Fibonacci CP, and exponential CP). applied with similar considerations to the construction of the modular stage in Fig If the terminals are connected as reported in Table 5.1 (starting values being V i= 1 = V i=0 = V DD ), a cascade connection of stages gives rise to a double heap charge pump [33], to a double Fibonacci charge pump [12], or to a double exponential charge pump [15]. The gate voltage swings and the timing of the switch transitions are controlled as in the case of the voltage doubler (preventing short-circuit losses and improving driving capability). The resulting charge pumps require only the conventional nonoverlapping phases, do not have extra parasitic capacitances affecting the efficiency, and can be scaled to any number of stages. The extension of the concept to a modular stage for generating any two-phase double charge pump is shown in Fig As an example, the double Cockcroft-Walton configuration (double ladder charge pump) is obtained with node 1 connected to V i 1, node 2 connected to V i, node 3 connected to V i 1, and node 4 connected to V i 2 (the starting values being V i= 1 = 0, V i=0 = V DD ). This structure can operate without exceeding breakdown

102 Chapter 5. Conclusion and Future Work 88 Figure 5.2: Proposed bootstrapping technique in a modular CP stage used to build any two-phase double CP voltages of both transfer capacitors and MOS switches [34]. In general, this stage is suitable for building adaptive charge pumps (i.e. charge pumps that change the conversion ratio under different input voltages and load currents to maintain high power efficiency). Future developments of the adaptive charge pump could also include automatic reconfiguration of the required voltage conversion ratio by means of adaptive control circuitry.

103 Appendix A. Testing Appendix A Testing A.1 View of the Full Chip and the Designed Circuits Figure A.1: Top view of the designed chip schematic. 89

104 Appendix A. Testing 90 Table A.1: Signal types and description. Signal Type Description VDD1 input/output input supply voltage for circuit 1 (VDD,1V,2V) VDD2 input/output input supply voltage for circuit 2 (VDD,1V,2V) VDD3 input/output input supply voltage for circuit 3 (VDD,1V,2V) VDD4 input/output input supply voltage for circuit 4 (VDD,1V,2V) VDD5 input/output input supply voltage for circuit 5 (VDD,1V,2V) VDD6 input/output input supply voltage for circuit 6 (VDD,1V,2V) CLK1 input circuit 1 input clock (freq, 1MHz, 20MHz), peak-to-peak = VDD CLK2 input circuit 2 input clock (freq, 1MHz, 20MHz), peak-to-peak = VDD CLK3 input circuit 3 input clock (freq, 1MHz, 20MHz), peak-to-peak = VDD CLK4 input circuit 4 input clock (freq, 1MHz, 20MHz), peak-to-peak = VDD CLK5 input circuit 5 input clock (freq, 1MHz, 20MHz), peak-to-peak = VDD CLK6 input circuit 6 input clock (freq, 1MHz, 20MHz), peak-to-peak = VDD V O1 output circuit 1 output voltage, connected to the load V O2 output circuit 2 output voltage, connected to the load V O3 output circuit 3 output voltage, connected to the load V O4 output circuit 4 output voltage, connected to the load V O5 output circuit 5 output voltage, connected to the load V O6 output circuit 6 output voltage, connected to the load V ref 156 input/output clock reference (Vref, 0.8V, 2.5V); circuit 1, circuit 5, and circuit 6 V ref 234 input/output clock reference (Vref, 0.8V, 2.5V); circuit 2, circuit 3, and circuit 4 CP 156 input/output clock generation supply voltage (VDD); circuit 1, circuit 5, and circuit 6 CP 234 input/output clock generation supply voltage (VDD); circuit 2, circuit 3, and circuit 4

105 Appendix A. Testing 91 Figure A.2: Block view of the six circuits.

106 Appendix A. Testing 92 A.2 Circuits and Pads Arrangement for the Design Figure A.3: Chip layout and pads arrangement.

107 Appendix A. Testing 93 A.3 Bonding Diagram for the Design Figure A.4: Bonding diagram for the design.

108 Appendix A. Testing 94 A.4 Test Board Figure A.5: Photograph of the fabricated test board.

PMOS-based Integrated Charge Pumps with Extended Voltage Range in Standard CMOS Technology

PMOS-based Integrated Charge Pumps with Extended Voltage Range in Standard CMOS Technology PMOS-based Integrated Charge Pumps with Extended Voltage Range in Standard CMOS Technology by Jingqi Liu A Thesis presented to The University of Guelph In partial fulfillment of requirements for the degree

More information

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE Journal of Engineering Science and Technology Vol. 12, No. 12 (2017) 3344-3357 School of Engineering, Taylor s University DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE

More information

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier Chapter 5 Operational Amplifiers and Source Followers 5.1 Operational Amplifier In single ended operation the output is measured with respect to a fixed potential, usually ground, whereas in double-ended

More information

Highly Efficient Ultra-Compact Isolated DC-DC Converter with Fully Integrated Active Clamping H-Bridge and Synchronous Rectifier

Highly Efficient Ultra-Compact Isolated DC-DC Converter with Fully Integrated Active Clamping H-Bridge and Synchronous Rectifier Highly Efficient Ultra-Compact Isolated DC-DC Converter with Fully Integrated Active Clamping H-Bridge and Synchronous Rectifier JAN DOUTRELOIGNE Center for Microsystems Technology (CMST) Ghent University

More information

Chapter 3 DESIGN OF ADIABATIC CIRCUIT. 3.1 Introduction

Chapter 3 DESIGN OF ADIABATIC CIRCUIT. 3.1 Introduction Chapter 3 DESIGN OF ADIABATIC CIRCUIT 3.1 Introduction The details of the initial experimental work carried out to understand the energy recovery adiabatic principle are presented in this section. This

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

DESIGN AND IMPLEMENTATION OF AN IMPROVED CHARGE PUMP USING VOLTAGE DOUBLER AS CLOCK SCHEME

DESIGN AND IMPLEMENTATION OF AN IMPROVED CHARGE PUMP USING VOLTAGE DOUBLER AS CLOCK SCHEME 380 DESIGN AND IMPLEMENTATION OF AN IMPROVED CHARGE PUMP USING VOLTAGE DOUBLER AS CLOCK SCHEME Tanu 1 M.E. Scholar, Electronics & Communication Engineering University Institute of Engineering, Punjab,

More information

A HIGH EFFICIENCY CHARGE PUMP FOR LOW VOLTAGE DEVICES

A HIGH EFFICIENCY CHARGE PUMP FOR LOW VOLTAGE DEVICES A HIGH EFFICIENCY CHARGE PUMP FOR LOW VOLTAGE DEVICES Aamna Anil 1 and Ravi Kumar Sharma 2 1 Department of Electronics and Communication Engineering Lovely Professional University, Jalandhar, Punjab, India

More information

Ryan Perigny A THESIS. submitted to. Oregon State University. in partial fulfillment of the requirements for the degree of.

Ryan Perigny A THESIS. submitted to. Oregon State University. in partial fulfillment of the requirements for the degree of. Area Efficiency Improvement of CMOS Charge Pump Circuits by Ryan Perigny A THESIS submitted to Oregon State University in partial fulfillment of the requirements for the degree of Master of Science Completed

More information

Reduction of Peak Input Currents during Charge Pump Boosting in Monolithically Integrated High-Voltage Generators

Reduction of Peak Input Currents during Charge Pump Boosting in Monolithically Integrated High-Voltage Generators Reduction of Peak Input Currents during Charge Pump Boosting in Monolithically Integrated High-Voltage Generators Jan Doutreloigne Abstract This paper describes two methods for the reduction of the peak

More information

Advanced Operational Amplifiers

Advanced Operational Amplifiers IsLab Analog Integrated Circuit Design OPA2-47 Advanced Operational Amplifiers כ Kyungpook National University IsLab Analog Integrated Circuit Design OPA2-1 Advanced Current Mirrors and Opamps Two-stage

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

Negative high voltage DC-DC converter using a New Cross-coupled Structure

Negative high voltage DC-DC converter using a New Cross-coupled Structure Negative high voltage DC-DC converter using a New Cross-coupled Structure Jun Zhao 1, Kyung Ki Kim 2 and Yong-Bin Kim 3 1 Marvell Technology, USA 2 Department of Electronic Engineering, Daegu University,

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

An SOI-based High-Voltage, High-Temperature Gate-Driver for SiC FET

An SOI-based High-Voltage, High-Temperature Gate-Driver for SiC FET An SOI-based High-Voltage, High-Temperature Gate-Driver for SiC FET M. A Huque 1, R. Vijayaraghavan 1, M. Zhang 1, B. J. Blalock 1, L M. Tolbert 1,2, and S. K. Islam 1 1 Department of Electrical and Computer

More information

Design of a High Speed Mixed Signal CMOS Mutliplying Circuit

Design of a High Speed Mixed Signal CMOS Mutliplying Circuit Brigham Young University BYU ScholarsArchive All Theses and Dissertations 2004-03-12 Design of a High Speed Mixed Signal CMOS Mutliplying Circuit David Ray Bartholomew Brigham Young University - Provo

More information

THE TREND toward implementing systems with low

THE TREND toward implementing systems with low 724 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 7, JULY 1995 Design of a 100-MHz 10-mW 3-V Sample-and-Hold Amplifier in Digital Bipolar Technology Behzad Razavi, Member, IEEE Abstract This paper

More information

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 6 Combinational CMOS Circuit and Logic Design Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Advanced Reliable Systems (ARES) Lab. Jin-Fu Li,

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP 1 B. Praveen Kumar, 2 G.Rajarajeshwari, 3 J.Anu Infancia 1, 2, 3 PG students / ECE, SNS College of Technology, Coimbatore, (India)

More information

Chapter 4. CMOS Cascode Amplifiers. 4.1 Introduction. 4.2 CMOS Cascode Amplifiers

Chapter 4. CMOS Cascode Amplifiers. 4.1 Introduction. 4.2 CMOS Cascode Amplifiers Chapter 4 CMOS Cascode Amplifiers 4.1 Introduction A single stage CMOS amplifier cannot give desired dc voltage gain, output resistance and transconductance. The voltage gain can be made to attain higher

More information

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, 2014 http://dx.doi.org/10.5573/jsts.2014.14.3.331 A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

Getting the Most From Your Portable DC/DC Converter: How To Maximize Output Current For Buck And Boost Circuits

Getting the Most From Your Portable DC/DC Converter: How To Maximize Output Current For Buck And Boost Circuits Getting the Most From Your Portable DC/DC Converter: How To Maximize Output Current For Buck And Boost Circuits Upal Sengupta, Texas nstruments ABSTRACT Portable product design requires that power supply

More information

COMPARISON OF THE MOSFET AND THE BJT:

COMPARISON OF THE MOSFET AND THE BJT: COMPARISON OF THE MOSFET AND THE BJT: In this section we present a comparison of the characteristics of the two major electronic devices: the MOSFET and the BJT. To facilitate this comparison, typical

More information

Low-Voltage Wide Linear Range Tunable Operational Transconductance Amplifier

Low-Voltage Wide Linear Range Tunable Operational Transconductance Amplifier Low-Voltage Wide Linear Range Tunable Operational Transconductance Amplifier A dissertation submitted in partial fulfillment of the requirement for the award of degree of Master of Technology in VLSI Design

More information

A New CMOS-DC/DC-Step-Up Converter for up to 2 mw Enduring Loads

A New CMOS-DC/DC-Step-Up Converter for up to 2 mw Enduring Loads A New CMOS-DC/DC-Step-Up Converter for up to mw Enduring Loads DANIEL BATAS, KLAUS SCHUMACHER Dept of Microelectronics University of Dortmund Dortmund GERMANY http://www-ims.e-technik.uni-dortmund.de Abstract:

More information

High Voltage Operational Amplifiers in SOI Technology

High Voltage Operational Amplifiers in SOI Technology High Voltage Operational Amplifiers in SOI Technology Kishore Penmetsa, Kenneth V. Noren, Herbert L. Hess and Kevin M. Buck Department of Electrical Engineering, University of Idaho Abstract This paper

More information

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407 Index A Accuracy active resistor structures, 46, 323, 328, 329, 341, 344, 360 computational circuits, 171 differential amplifiers, 30, 31 exponential circuits, 285, 291, 292 multifunctional structures,

More information

A low-variation on-resistance CMOS sampling switch for high-speed high-performance applications

A low-variation on-resistance CMOS sampling switch for high-speed high-performance applications A low-variation on-resistance CMOS sampling switch for high-speed high-performance applications MohammadReza Asgari 1 and Omid Hashemipour 2a) 1 Microelectronic Lab, Shahid Beheshti University, G. C. Tehran,

More information

UNIT-1 Fundamentals of Low Power VLSI Design

UNIT-1 Fundamentals of Low Power VLSI Design UNIT-1 Fundamentals of Low Power VLSI Design Need for Low Power Circuit Design: The increasing prominence of portable systems and the need to limit power consumption (and hence, heat dissipation) in very-high

More information

ON-CHIP TOUCH SENSOR READOUT CIRCUIT USING PASSIVE SIGMA-DELTA MODULATOR CAPACITANCE-TO-DIGITAL CONVERTER. A Thesis. Presented to

ON-CHIP TOUCH SENSOR READOUT CIRCUIT USING PASSIVE SIGMA-DELTA MODULATOR CAPACITANCE-TO-DIGITAL CONVERTER. A Thesis. Presented to ON-CHIP TOUCH SENSOR READOUT CIRCUIT USING PASSIVE SIGMA-DELTA MODULATOR CAPACITANCE-TO-DIGITAL CONVERTER A Thesis Presented to The Graduate Faculty of The University of Akron In Partial Fulfillment of

More information

DESIGN OF A CHARGE PUMP-BASED BODY BIAS GENERATOR FOR FDSOI CIRCUITS A

DESIGN OF A CHARGE PUMP-BASED BODY BIAS GENERATOR FOR FDSOI CIRCUITS A DESIGN OF A CHARGE PUMP-BASED BODY BIAS GENERATOR FOR FDSOI CIRCUITS A Master's Thesis Submitted to the Faculty of the Escola Tècnica d'enginyeria de Telecomunicació de Barcelona Universitat Politècnica

More information

Design and Simulation of Voltage-Mode and Current-Mode Class-D Power Amplifiers for 2.4 GHz Applications

Design and Simulation of Voltage-Mode and Current-Mode Class-D Power Amplifiers for 2.4 GHz Applications Design and Simulation of Voltage-Mode and Current-Mode Class-D Power Amplifiers for 2.4 GHz Applications Armindo António Barão da Silva Pontes Abstract This paper presents the design and simulations of

More information

Design of a Folded Cascode Operational Amplifier in a 1.2 Micron Silicon-Carbide CMOS Process

Design of a Folded Cascode Operational Amplifier in a 1.2 Micron Silicon-Carbide CMOS Process University of Arkansas, Fayetteville ScholarWorks@UARK Electrical Engineering Undergraduate Honors Theses Electrical Engineering 5-2017 Design of a Folded Cascode Operational Amplifier in a 1.2 Micron

More information

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR Yang-Shyung Shyu * and Jiin-Chuan Wu Dept. of Electronics Engineering, National Chiao-Tung University 1001 Ta-Hsueh Road, Hsin-Chu, 300, Taiwan * E-mail:

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

An Analog Phase-Locked Loop

An Analog Phase-Locked Loop 1 An Analog Phase-Locked Loop Greg Flewelling ABSTRACT This report discusses the design, simulation, and layout of an Analog Phase-Locked Loop (APLL). The circuit consists of five major parts: A differential

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

UNIT-III GATE LEVEL DESIGN

UNIT-III GATE LEVEL DESIGN UNIT-III GATE LEVEL DESIGN LOGIC GATES AND OTHER COMPLEX GATES: Invert(nmos, cmos, Bicmos) NAND Gate(nmos, cmos, Bicmos) NOR Gate(nmos, cmos, Bicmos) The module (integrated circuit) is implemented in terms

More information

3 Circuit Theory. 3.2 Balanced Gain Stage (BGS) Input to the amplifier is balanced. The shield is isolated

3 Circuit Theory. 3.2 Balanced Gain Stage (BGS) Input to the amplifier is balanced. The shield is isolated Rev. D CE Series Power Amplifier Service Manual 3 Circuit Theory 3.0 Overview This section of the manual explains the general operation of the CE power amplifier. Topics covered include Front End Operation,

More information

A New Capacitive Sensing Circuit using Modified Charge Transfer Scheme

A New Capacitive Sensing Circuit using Modified Charge Transfer Scheme 78 Hyeopgoo eo : A NEW CAPACITIVE CIRCUIT USING MODIFIED CHARGE TRANSFER SCHEME A New Capacitive Sensing Circuit using Modified Charge Transfer Scheme Hyeopgoo eo, Member, KIMICS Abstract This paper proposes

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

IN the design of the fine comparator for a CMOS two-step flash A/D converter, the main design issues are offset cancelation

IN the design of the fine comparator for a CMOS two-step flash A/D converter, the main design issues are offset cancelation JOURNAL OF STELLAR EE315 CIRCUITS 1 A 60-MHz 150-µV Fully-Differential Comparator Erik P. Anderson and Jonathan S. Daniels (Invited Paper) Abstract The overall performance of two-step flash A/D converters

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

LOW VOLTAGE / LOW POWER RAIL-TO-RAIL CMOS OPERATIONAL AMPLIFIER FOR PORTABLE ECG

LOW VOLTAGE / LOW POWER RAIL-TO-RAIL CMOS OPERATIONAL AMPLIFIER FOR PORTABLE ECG LOW VOLTAGE / LOW POWER RAIL-TO-RAIL CMOS OPERATIONAL AMPLIFIER FOR PORTABLE ECG A DISSERTATION SUBMITTED TO THE FACULTY OF THE GRADUATE SCHOOL OF THE UNIVERSITY OF MINNESOTA BY BORAM LEE IN PARTIAL FULFILLMENT

More information

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency Jamie E. Reinhold December 15, 2011 Abstract The design, simulation and layout of a UMAINE ECE Morse code Read Only Memory and transmitter

More information

High Efficiency MOS Charge Pumps for Low-Voltage Operation Using Threshold-Voltage Cancellation Techniques for RFID and Sensor Network Applications

High Efficiency MOS Charge Pumps for Low-Voltage Operation Using Threshold-Voltage Cancellation Techniques for RFID and Sensor Network Applications IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 3 Ver. IV (May Jun. 2015), PP 57-62 www.iosrjournals.org High Efficiency MOS Charge

More information

TECHNICAL REPORT. On the Design of a Negative Voltage Conversion Circuit. Yiorgos E. Tsiatouhas

TECHNICAL REPORT. On the Design of a Negative Voltage Conversion Circuit. Yiorgos E. Tsiatouhas TECHNICAL REPORT On the Design of a Negative Voltage Conversion Circuit Yiorgos E. Tsiatouhas University of Ioannina Department of Computer Science Panepistimioupolis, P.O. Box 1186, 45110 Ioannina, Greece

More information

Separation and Extraction of Short-Circuit Power Consumption in Digital CMOS VLSI Circuits

Separation and Extraction of Short-Circuit Power Consumption in Digital CMOS VLSI Circuits Separation and Extraction of Short-Circuit Power Consumption in Digital CMOS VLSI Circuits Atila Alvandpour, Per Larsson-Edefors, and Christer Svensson Div of Electronic Devices, Dept of Physics, Linköping

More information

Solid State Devices- Part- II. Module- IV

Solid State Devices- Part- II. Module- IV Solid State Devices- Part- II Module- IV MOS Capacitor Two terminal MOS device MOS = Metal- Oxide- Semiconductor MOS capacitor - the heart of the MOSFET The MOS capacitor is used to induce charge at the

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

Analysis and loss estimation of different multilevel DC-DC converter modules and different proposed multilevel DC-DC converter systems

Analysis and loss estimation of different multilevel DC-DC converter modules and different proposed multilevel DC-DC converter systems The University of Toledo The University of Toledo Digital Repository Theses and Dissertations 2014 Analysis and loss estimation of different multilevel DC-DC converter modules and different proposed multilevel

More information

Week 7: Common-Collector Amplifier, MOS Field Effect Transistor

Week 7: Common-Collector Amplifier, MOS Field Effect Transistor EE 2110A Electronic Circuits Week 7: Common-Collector Amplifier, MOS Field Effect Transistor ecture 07-1 Topics to coer Common-Collector Amplifier MOS Field Effect Transistor Physical Operation and I-V

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1 Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1. Introduction 2. Metal Oxide Semiconductor (MOS) logic 2.1. Enhancement and depletion mode 2.2. NMOS and PMOS inverter

More information

SINGLE-INDUCTOR MULTIPLE-OUTPUT DC-DC CONVERTERS

SINGLE-INDUCTOR MULTIPLE-OUTPUT DC-DC CONVERTERS SINGLE-INDUCTOR MULTIPLE-OUTPUT DC-DC CONVERTERS Massimiliano Belloni, Edoardo Bonizzoni, Franco Maloberti University of Pavia Department of Electronics Via Ferrata, 1-27100 Pavia - ITALY [massimiliano.belloni,

More information

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem A report Submitted to Canopus Systems Inc. Zuhail Sainudeen and Navid Yazdi Arizona State University July 2001 1. Overview

More information

Comparative Analysis of Adiabatic Logic Techniques

Comparative Analysis of Adiabatic Logic Techniques Comparative Analysis of Adiabatic Logic Techniques Bhakti Patel Student, Department of Electronics and Telecommunication, Mumbai University Vile Parle (west), Mumbai, India ABSTRACT Power Consumption being

More information

IJMIE Volume 2, Issue 3 ISSN:

IJMIE Volume 2, Issue 3 ISSN: IJMIE Volume 2, Issue 3 ISSN: 2249-0558 VLSI DESIGN OF LOW POWER HIGH SPEED DOMINO LOGIC Ms. Rakhi R. Agrawal* Dr. S. A. Ladhake** Abstract: Simple to implement, low cost designs in CMOS Domino logic are

More information

Low Power Adiabatic Logic Design

Low Power Adiabatic Logic Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 1, Ver. III (Jan.-Feb. 2017), PP 28-34 www.iosrjournals.org Low Power Adiabatic

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

NOVEMBER 28, 2016 COURSE PROJECT: CMOS SWITCHING POWER SUPPLY EE 421 DIGITAL ELECTRONICS ERIC MONAHAN

NOVEMBER 28, 2016 COURSE PROJECT: CMOS SWITCHING POWER SUPPLY EE 421 DIGITAL ELECTRONICS ERIC MONAHAN NOVEMBER 28, 2016 COURSE PROJECT: CMOS SWITCHING POWER SUPPLY EE 421 DIGITAL ELECTRONICS ERIC MONAHAN 1.Introduction: CMOS Switching Power Supply The course design project for EE 421 Digital Engineering

More information

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits Noise in Digital Integrated Circuits Lecture 4 The CMOS Inverter i(t) v(t) V DD Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail:

More information

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver Arvin R. Shahani, Derek K. Shaeffer, Thomas H. Lee Stanford University, Stanford, CA At submicron channel lengths, CMOS is

More information

CHAPTER 3. Instrumentation Amplifier (IA) Background. 3.1 Introduction. 3.2 Instrumentation Amplifier Architecture and Configurations

CHAPTER 3. Instrumentation Amplifier (IA) Background. 3.1 Introduction. 3.2 Instrumentation Amplifier Architecture and Configurations CHAPTER 3 Instrumentation Amplifier (IA) Background 3.1 Introduction The IAs are key circuits in many sensor readout systems where, there is a need to amplify small differential signals in the presence

More information

電子電路. Memory and Advanced Digital Circuits

電子電路. Memory and Advanced Digital Circuits 電子電路 Memory and Advanced Digital Circuits Hsun-Hsiang Chen ( 陳勛祥 ) Department of Electronic Engineering National Changhua University of Education Email: chenhh@cc.ncue.edu.tw Spring 2010 2 Reference Microelectronic

More information

LM78S40 Switching Voltage Regulator Applications

LM78S40 Switching Voltage Regulator Applications LM78S40 Switching Voltage Regulator Applications Contents Introduction Principle of Operation Architecture Analysis Design Inductor Design Transistor and Diode Selection Capacitor Selection EMI Design

More information

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1 Contents 1 FUNDAMENTAL CONCEPTS 1 1.1 What is Noise Coupling 1 1.2 Resistance 3 1.2.1 Resistivity and Resistance 3 1.2.2 Wire Resistance 4 1.2.3 Sheet Resistance 5 1.2.4 Skin Effect 6 1.2.5 Resistance

More information

Minimum PCB Footprint Point-of-Load DC-DC Converter Realized with Switched-Capacitor Architecture

Minimum PCB Footprint Point-of-Load DC-DC Converter Realized with Switched-Capacitor Architecture Minimum PCB Footprint Point-of-Load DC-DC Converter Realized with Switched-Capacitor Architecture Vincent W Ng, Michael D Seeman, Seth R Sanders University of California, Berkeley 550 Cory Hall, Berkeley,

More information

Design of Pipeline Analog to Digital Converter

Design of Pipeline Analog to Digital Converter Design of Pipeline Analog to Digital Converter Vivek Tripathi, Chandrajit Debnath, Rakesh Malik STMicroelectronics The pipeline analog-to-digital converter (ADC) architecture is the most popular topology

More information

Chapter 3 : Closed Loop Current Mode DC\DC Boost Converter

Chapter 3 : Closed Loop Current Mode DC\DC Boost Converter Chapter 3 : Closed Loop Current Mode DC\DC Boost Converter 3.1 Introduction DC/DC Converter efficiently converts unregulated DC voltage to a regulated DC voltage with better efficiency and high power density.

More information

An Improved Bandgap Reference (BGR) Circuit with Constant Voltage and Current Outputs

An Improved Bandgap Reference (BGR) Circuit with Constant Voltage and Current Outputs International Journal of Research in Engineering and Innovation Vol-1, Issue-6 (2017), 60-64 International Journal of Research in Engineering and Innovation (IJREI) journal home page: http://www.ijrei.com

More information

Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for Low Power Design

Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for Low Power Design IOSR Journal of Engineering (IOSRJEN) e-issn: 2250-3021, p-issn: 2278-8719 Vol. 3, Issue 6 (June. 2013), V1 PP 14-21 Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for

More information

SCALING power supply has become popular in lowpower

SCALING power supply has become popular in lowpower IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 1, JANUARY 2012 55 Design of a Subthreshold-Supply Bootstrapped CMOS Inverter Based on an Active Leakage-Current Reduction Technique

More information

Energy-Recovery CMOS Design

Energy-Recovery CMOS Design Energy-Recovery CMOS Design Jay Moon, Bill Athas * Univ of Southern California * Apple Computer, Inc. jsmoon@usc.edu / athas@apple.com March 05, 2001 UCLA EE215B jsmoon@usc.edu / athas@apple.com 1 Outline

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Charge Pump Voltage Converters TJ7660

Charge Pump Voltage Converters TJ7660 FEATURES Simple Conversion of +5V Logic Supply to ±5V Supplies Simple Voltage Multiplication (VOUT = (-) nvin) Typical Open Circuit Voltage Conversion Efficiency 99.9% Typical Power Efficiency 98% Wide

More information

ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology

ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology Chih-Ting Yeh (1, 2) and Ming-Dou Ker (1, 3) (1) Department

More information

Power dissipation in CMOS

Power dissipation in CMOS DC Current in For V IN < V TN, N O is cut off and I DD = 0. For V TN < V IN < V DD /2, N O is saturated. For V DD /2 < V IN < V DD +V TP, P O is saturated. For V IN > V DD + V TP, P O is cut off and I

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

Current Mirrors. Current Source and Sink, Small Signal and Large Signal Analysis of MOS. Knowledge of Various kinds of Current Mirrors

Current Mirrors. Current Source and Sink, Small Signal and Large Signal Analysis of MOS. Knowledge of Various kinds of Current Mirrors Motivation Current Mirrors Current sources have many important applications in analog design. For example, some digital-to-analog converters employ an array of current sources to produce an analog output

More information

LOW POWER CMOS CELL STRUCTURES BASED ON ADIABATIC SWITCHING

LOW POWER CMOS CELL STRUCTURES BASED ON ADIABATIC SWITCHING LOW POWER CMOS CELL STRUCTURES BASED ON ADIABATIC SWITCHING Uday Kumar Rajak Electronics & Telecommunication Dept. Columbia Institute of Engineering and Technology,Raipur (India) ABSTRACT The dynamic power

More information

BICMOS Technology and Fabrication

BICMOS Technology and Fabrication 12-1 BICMOS Technology and Fabrication 12-2 Combines Bipolar and CMOS transistors in a single integrated circuit By retaining benefits of bipolar and CMOS, BiCMOS is able to achieve VLSI circuits with

More information

Rail to Rail Input Amplifier with constant G M and High Unity Gain Frequency. Arun Ramamurthy, Amit M. Jain, Anuj Gupta

Rail to Rail Input Amplifier with constant G M and High Unity Gain Frequency. Arun Ramamurthy, Amit M. Jain, Anuj Gupta 1 Rail to Rail Input Amplifier with constant G M and High Frequency Arun Ramamurthy, Amit M. Jain, Anuj Gupta Abstract A rail to rail input, 2.5V CMOS input amplifier is designed that amplifies uniformly

More information

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS Fourth Edition PAUL R. GRAY University of California, Berkeley PAUL J. HURST University of California, Davis STEPHEN H. LEWIS University of California,

More information

WITH the trend of integrating different modules on a

WITH the trend of integrating different modules on a IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 64, NO. 7, JULY 2017 737 A Fully Integrated Multistage Cross-Coupled Voltage Multiplier With No Reversion Power Loss in a Standard CMOS

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

High Temperature Mixed Signal Capabilities

High Temperature Mixed Signal Capabilities High Temperature Mixed Signal Capabilities June 29, 2017 Product Overview Features o Up to 300 o C Operation o Will support most analog functions. o Easily combined with up to 30K digital gates. o 1.0u

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

Design Of A Comparator For Pipelined A/D Converter

Design Of A Comparator For Pipelined A/D Converter Design Of A Comparator For Pipelined A/D Converter Ms. Supriya Ganvir, Mr. Sheetesh Sad ABSTRACT`- This project reveals the design of a comparator for pipeline ADC. These comparator is designed using preamplifier

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

Design Switched Capacitor Filter Sub Circuit Using Tanner EDA Tool

Design Switched Capacitor Filter Sub Circuit Using Tanner EDA Tool Advance in Electronic and Electric Engineering ISSN 2231-1297, Volume 3, Number 3 (2013), pp. 271-178 Research India Publications http://www.ripublication.com/aeee.htm Design Switched Capacitor Filter

More information

BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows

BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows Unit 3 BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows 1.Specification (problem definition) 2.Schematic(gate level design) (equivalence check) 3.Layout (equivalence

More information

CHAPTER 2 A SERIES PARALLEL RESONANT CONVERTER WITH OPEN LOOP CONTROL

CHAPTER 2 A SERIES PARALLEL RESONANT CONVERTER WITH OPEN LOOP CONTROL 14 CHAPTER 2 A SERIES PARALLEL RESONANT CONVERTER WITH OPEN LOOP CONTROL 2.1 INTRODUCTION Power electronics devices have many advantages over the traditional power devices in many aspects such as converting

More information

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism;

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism; Chapter 3 Field-Effect Transistors (FETs) 3.1 Introduction Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism; The concept has been known

More information

INTRODUCTION: Basic operating principle of a MOSFET:

INTRODUCTION: Basic operating principle of a MOSFET: INTRODUCTION: Along with the Junction Field Effect Transistor (JFET), there is another type of Field Effect Transistor available whose Gate input is electrically insulated from the main current carrying

More information