Digital multimirror devices for precision laser micromachining

Size: px
Start display at page:

Download "Digital multimirror devices for precision laser micromachining"

Transcription

1 Digital multimirror devices for precision laser micromachining Rob Eason, Ben Mills, Matthias Feinäugle, Dan Heath, Collin Sones, James Grant-Jacob, Ioannis Katis, Collin Sones. Optoelectronics Research Centre

2 Content DMD devices for laser processing Laser: - Ablation - Multiphoton polymerisation (MPP) - Laser-induced forward transfer (LIFT) - all within the context of microscale materials processing Summary

3 Digital Micromirror Devices (DMD) Used in majority of data projectors Array of individually controlled ~7μm wide mirrors Operates across the visible and NIR region Can be used as an intensity spatial light modulator (SLM) Cheap (~ 200) and fast switching speed (>1Khz) Schematic of a section of the digital mirror device (actual model used: Texas Instruments DLP3000, 608 x 684 mirrors)

4 Experimental schematic Ti: sapphire, 2mJ max, 1kHz, 800nm Energy density on the workpiece is 50 x 50 higher than on the DMD - Limit fluence on DMD to below 1mJ/cm2

5 Pattern updating

6 Content DMD devices for laser processing Laser: - Ablation - Multiphoton polymerisation (MPP) - Laser-induced forward transfer (LIFT) - all within the context of microscale materials processing Summary

7 1 Ablative removal via a single laser pulse 5 µm 330nm width for region remaining 100 µm Semiconductors Metals/alloys Diamond

8 Close-ups: These all took 150 fs 1µm 7µm 10µm 670nm

9 And can you beat the diffraction limit? FEATURE SIZE Single pulse (150fs) ablation, using λ=800nm Have seen ~100nm single feature size RESOLUTION Ability to resolve or ablate close adjacent features Limited by λ (800nm) We ve achieved 700nm Cannot beat diffraction limit N pulses, can give λ/n resolution

10 Direct writing of gratings: 6300 Gratings, Actual time = ~4 minutes, best possible time = 6.3 seconds How they appear on the DMD 4.5x3.5mm total area, each pixel in image a grating of 30x30µm Each line 10 pixels wide Each line 17 pixels wide Individual/personalised logos or initials

11 Any image can be displayed on DMD for each pixel of course, not just gratings (though they look macroscopically attractive). 5mm

12 Security applications 1 cm

13 Content DMD devices for laser processing Laser: - Ablation - Multiphoton polymerisation (MPP) - Laser-induced forward transfer (LIFT) - all within the context of microscale materials processing Summary

14 MPP With very fast laser pulses, materials can absorb at wavelengths they are not supposed to! Using light at 800nm wavelength, a material can simultaneously absorb 2 photons, equivalent to an absorption in the blue (400nm). Absorption from level 1 to level photons at 800 nm can have the same effect as 1 photon at 400nm..very clever trick! At very high laser intensities, 2 photon, 3 photon processes can occur 1

15 Multiphoton writing (serial printing) Venus de milo x 3 Laser Zentrum, Hannover, + Nanoscribe.many others

16 DMD MPP but single shot exposure Image file that was displayed on the DMD during the period of the pulse SEM image of structure fabricated using a single pulse

17

18 0.3 mm

19 Extended 3D structures: all single shot

20 10µm 10µm DMD-based approach For larger areas, maybe 10 shots is required for optimum definition: Feature size can be ~400nm (~λ/2) + contrast - contrast Pattern used on DMD

21 But need to consider depth of field

22 Content DMD devices for laser processing Laser: - Ablation - Multiphoton polymerisation (MPP) - Laser-induced forward transfer (LIFT) - all within the context of microscale materials processing Summary

23 LIFT basics: Laser-Induced Forward Transfer = Laser printing of solids Typewriter LIFT Key Ribbon Ink Paper Laser Carrier Donor Receiver 23

24 Metal pads Metal wires Ceramics Thermoelectrics Antibodies: 0.7mm pads 6mm 24

25 Now add spatial beam shaping with a DMD 40µm x 40µm Pattern on the DMD Pattern on the donor film Final LIFTed feature (Au on Si).

26 700nm thick Si films: the donor 100 µm

27 Most recent DMD LIFT results New laser 3D printing facility An ORC breakthrough = 3D printing 75 µm PMMA donors BiTe semiconductor film 27

28 Summary DMDs are very useful for precise ablation, multiphoton polymerisation and LIFT and can produce µm-mm scale features. Single-shot processing and areas up to ~100 µm 2 (~mj pulses), 15mm 2 (100mJ pulses) Step and repeat for larger areas.

29 Recent EPSRC grant EP/L022230/1 : 2014/2015 Digital Multimirror Devices for laser-based Manufacturing Under the Manufacturing with light scheme to explore feasibility and applications. Please make contact with me via: rwe@orc.soton.ac.uk for (free) trial machining using the DMD approach

Nanofabrication technologies: high-throughput for tomorrow s metadevices

Nanofabrication technologies: high-throughput for tomorrow s metadevices Nanofabrication technologies: high-throughput for tomorrow s metadevices Rob Eason Ben Mills, Matthias Feinaugle, Dan Heath, David Banks, Collin Sones, James Grant-Jacob, Ioannis Katis. Fabrication fundamentals

More information

Title: Laser marking with graded contrast micro crack inside transparent material using UV ns pulse

Title: Laser marking with graded contrast micro crack inside transparent material using UV ns pulse Cover Page Title: Laser marking with graded contrast micro crack inside transparent material using UV ns pulse laser Authors: Futoshi MATSUI*(1,2), Masaaki ASHIHARA(1), Mitsuyasu MATSUO (1), Sakae KAWATO(2),

More information

Advances in Laser Micro-machining for Wafer Probing and Trimming

Advances in Laser Micro-machining for Wafer Probing and Trimming Advances in Laser Micro-machining for Wafer Probing and Trimming M.R.H. Knowles, A.I.Bell, G. Rutterford & A. Webb Oxford Lasers June 10, 2002 Oxford Lasers June 2002 1 Introduction to Laser Micro-machining

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Optically reconfigurable metasurfaces and photonic devices based on phase change materials S1: Schematic diagram of the experimental setup. A Ti-Sapphire femtosecond laser (Coherent Chameleon Vision S)

More information

On-line spectrometer for FEL radiation at

On-line spectrometer for FEL radiation at On-line spectrometer for FEL radiation at FERMI@ELETTRA Fabio Frassetto 1, Luca Poletto 1, Daniele Cocco 2, Marco Zangrando 3 1 CNR/INFM Laboratory for Ultraviolet and X-Ray Optical Research & Department

More information

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm Resolution of optical systems depends on the wavelength visible light λ = 500 nm Spatial Resolution = k λ NA EUV and SXR microscopy can potentially resolve full-field images with 10-100x smaller features

More information

LMT F14. Cut in Three Dimensions. The Rowiak Laser Microtome: 3-D Cutting and Imaging

LMT F14. Cut in Three Dimensions. The Rowiak Laser Microtome: 3-D Cutting and Imaging LMT F14 Cut in Three Dimensions The Rowiak Laser Microtome: 3-D Cutting and Imaging The Next Generation of Microtomes LMT F14 - Non-contact laser microtomy The Rowiak laser microtome LMT F14 is a multi-purpose

More information

Fiber Lasers for EUV Lithography

Fiber Lasers for EUV Lithography Fiber Lasers for EUV Lithography A. Galvanauskas, Kai Chung Hou*, Cheng Zhu CUOS, EECS Department, University of Michigan P. Amaya Arbor Photonics, Inc. * Currently with Cymer, Inc 2009 International Workshop

More information

Examination Optoelectronic Communication Technology. April 11, Name: Student ID number: OCT1 1: OCT 2: OCT 3: OCT 4: Total: Grade:

Examination Optoelectronic Communication Technology. April 11, Name: Student ID number: OCT1 1: OCT 2: OCT 3: OCT 4: Total: Grade: Examination Optoelectronic Communication Technology April, 26 Name: Student ID number: OCT : OCT 2: OCT 3: OCT 4: Total: Grade: Declaration of Consent I hereby agree to have my exam results published on

More information

Supplementary Materials for

Supplementary Materials for advances.sciencemag.org/cgi/content/full/4/2/e1700324/dc1 Supplementary Materials for Photocarrier generation from interlayer charge-transfer transitions in WS2-graphene heterostructures Long Yuan, Ting-Fung

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Nd:YSO resonator array Transmission spectrum (a. u.) Supplementary Figure 1. An array of nano-beam resonators fabricated in Nd:YSO.

Nd:YSO resonator array Transmission spectrum (a. u.) Supplementary Figure 1. An array of nano-beam resonators fabricated in Nd:YSO. a Nd:YSO resonator array µm Transmission spectrum (a. u.) b 4 F3/2-4I9/2 25 2 5 5 875 88 λ(nm) 885 Supplementary Figure. An array of nano-beam resonators fabricated in Nd:YSO. (a) Scanning electron microscope

More information

The KrF alternative for fast ignition inertial fusion

The KrF alternative for fast ignition inertial fusion The KrF alternative for fast ignition inertial fusion IstvánB Földes 1, Sándor Szatmári 2 Students: A. Barna, R. Dajka, B. Gilicze, Zs. Kovács 1 Wigner Research Centre of the Hungarian Academy of Sciences,

More information

- Optics Design - Lens - Mirror - Window - Filter - Prism

- Optics Design - Lens - Mirror - Window - Filter - Prism - Optics Design - Lens - Mirror - Window - Filter - Prism Optics Design Camera lens Design Laser Line Beam Design Lithography lens Design F-theta lens Design Beam Expender Design Zoom Lens Design, etc.

More information

INTERNATIONAL ELECTRONIC CONFERENCE ON SENSORS AND APPLICATIONS

INTERNATIONAL ELECTRONIC CONFERENCE ON SENSORS AND APPLICATIONS INTERNATIONAL ELECTRONIC CONFERENCE ON SENSORS AND APPLICATIONS 01 16 JUNE 2014 AUTHORS / RESEARCHERS A.F.M. Anuar, Y. Wahab, H. Fazmir, M. Najmi, S. Johari, M. Mazalan, N.I.M. Nor, M.K. Md Arshad Advanced

More information

NEW LASER ULTRASONIC INTERFEROMETER FOR INDUSTRIAL APPLICATIONS B.Pouet and S.Breugnot Bossa Nova Technologies; Venice, CA, USA

NEW LASER ULTRASONIC INTERFEROMETER FOR INDUSTRIAL APPLICATIONS B.Pouet and S.Breugnot Bossa Nova Technologies; Venice, CA, USA NEW LASER ULTRASONIC INTERFEROMETER FOR INDUSTRIAL APPLICATIONS B.Pouet and S.Breugnot Bossa Nova Technologies; Venice, CA, USA Abstract: A novel interferometric scheme for detection of ultrasound is presented.

More information

National Science Foundation Center for Lasers and Plasmas for Advanced Manufacturing. Mool C. Gupta Applied Research Center Old Dominion University

National Science Foundation Center for Lasers and Plasmas for Advanced Manufacturing. Mool C. Gupta Applied Research Center Old Dominion University National Science Foundation Center for Lasers and Plasmas for Advanced Manufacturing Mool C. Gupta Applied Research Center Old Dominion University National Science Foundation Center - Center Mission -

More information

Outline. Motivation Experimental Set-Up Theory behind the set-up Results Acknowledgements

Outline. Motivation Experimental Set-Up Theory behind the set-up Results Acknowledgements Outline Motivation Experimental Set-Up Theory behind the set-up Results Acknowledgements Motivation Attosecond pulses could be used to study time-dependence of atomic dynamics. Greater control of pulse

More information

Laser tests of Wide Band Gap power devices. Using Two photon absorption process

Laser tests of Wide Band Gap power devices. Using Two photon absorption process Laser tests of Wide Band Gap power devices Using Two photon absorption process Frederic Darracq Associate professor IMS, CNRS UMR5218, Université Bordeaux, 33405 Talence, France 1 Outline Two-Photon absorption

More information

ADVANCES IN USING A POLYMERIC TAPE FOR LASER-INDUCED DEPOSITION AND ABLATION

ADVANCES IN USING A POLYMERIC TAPE FOR LASER-INDUCED DEPOSITION AND ABLATION ADVANCES IN USING A POLYMERIC TAPE FOR LASER-INDUCED DEPOSITION AND ABLATION Arne Koops, tesa AG, Hamburg, Germany Sven Reiter, tesa AG, Hamburg, Germany 1. Abstract Laser systems for industrial materials

More information

The Laser Processing of Diamond and Sapphire

The Laser Processing of Diamond and Sapphire The Laser Processing of Diamond and Sapphire Neil Sykes Micronanics Limited neil@micronanics.com Diamond Diamond has the highest hardness and thermal conductivity of any bulk material 10/10 on the Mohs

More information

Deformable MEMS Micromirror Array for Wavelength and Angle Insensitive Retro-Reflecting Modulators Trevor K. Chan & Joseph E. Ford

Deformable MEMS Micromirror Array for Wavelength and Angle Insensitive Retro-Reflecting Modulators Trevor K. Chan & Joseph E. Ford Photonics Systems Integration Lab UCSD Jacobs School of Engineering Deformable MEMS Micromirror Array for Wavelength and Angle Insensitive Retro-Reflecting Modulators Trevor K. Chan & Joseph E. Ford PHOTONIC

More information

Subwavelength Imaging Based on Nanoscale Semiconductor Photodetector Array

Subwavelength Imaging Based on Nanoscale Semiconductor Photodetector Array Simulation of Photodetection using FDTD Method with Application to Near Field Subwavelength Imaging Based on Nanoscale Semiconductor Photodetector Array Ki Young Kim, Yingyan Huang, Boyang Liu, and Seng

More information

Optical Bus for Intra and Inter-chip Optical Interconnects

Optical Bus for Intra and Inter-chip Optical Interconnects Optical Bus for Intra and Inter-chip Optical Interconnects Xiaolong Wang Omega Optics Inc., Austin, TX Ray T. Chen University of Texas at Austin, Austin, TX Outline Perspective of Optical Backplane Bus

More information

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca Femtosecond laser microfabrication in polymers Prof. Dr. Cleber R. Mendonca laser microfabrication focus laser beam on material s surface laser microfabrication laser microfabrication laser microfabrication

More information

Instruction manual and data sheet ipca h

Instruction manual and data sheet ipca h 1/15 instruction manual ipca-21-05-1000-800-h Instruction manual and data sheet ipca-21-05-1000-800-h Broad area interdigital photoconductive THz antenna with microlens array and hyperhemispherical silicon

More information

Spontaneous Hyper Emission: Title of Talk

Spontaneous Hyper Emission: Title of Talk Spontaneous Hyper Emission: Title of Talk Enhanced Light Emission by Optical Antennas Ming C. Wu University of California, Berkeley A Science & Technology Center Where Our Paths Crossed Page Nanopatch

More information

Laser material processing based on non-conventional beam focusing strategies

Laser material processing based on non-conventional beam focusing strategies 9th International Conference on Photonic Technologies LANE 2016 Laser material processing based on non-conventional beam focusing strategies Xiaoming Yu a, Xinya Wang a, Margaux Chanal b, Carlos A. Trallero-Herrero

More information

LCLS-II-HE Instrumentation

LCLS-II-HE Instrumentation LCLS-II-HE Instrumentation Average Brightness (ph/s/mm 2 /mrad 2 /0.1%BW) LCLS-II-HE: Enabling New Experimental Capabilities Structural Dynamics at the Atomic Scale Expand the photon energy reach of LCLS-II

More information

Georgia Tech IEN EBL Facility NNIN Highlights 2014 External User Projects

Georgia Tech IEN EBL Facility NNIN Highlights 2014 External User Projects Georgia Tech IEN EBL Facility NNIN Highlights 2014 External User Projects Silicon based Photonic Crystal Devices Silicon based photonic crystal devices are ultra-small photonic devices that can confine

More information

Drilling of Glass by Excimer Laser Mask Projection Technique Abstract Introduction Experimental details

Drilling of Glass by Excimer Laser Mask Projection Technique Abstract Introduction Experimental details Drilling of Glass by Excimer Laser Mask Projection Technique Bernd Keiper, Horst Exner, Udo Löschner, Thomas Kuntze Laserinstitut Mittelsachsen e.v., Hochschule Mittweida, University of Applied Sciences

More information

Novel laser power sensor improves process control

Novel laser power sensor improves process control Novel laser power sensor improves process control A dramatic technological advancement from Coherent has yielded a completely new type of fast response power detector. The high response speed is particularly

More information

BEAM SHAPING OPTICS TO IMPROVE HOLOGRAPHIC AND INTERFEROMETRIC NANOMANUFACTURING TECHNIQUES Paper N405 ABSTRACT

BEAM SHAPING OPTICS TO IMPROVE HOLOGRAPHIC AND INTERFEROMETRIC NANOMANUFACTURING TECHNIQUES Paper N405 ABSTRACT BEAM SHAPING OPTICS TO IMPROVE HOLOGRAPHIC AND INTERFEROMETRIC NANOMANUFACTURING TECHNIQUES Paper N5 Alexander Laskin, Vadim Laskin AdlOptica GmbH, Rudower Chaussee 9, 89 Berlin, Germany ABSTRACT Abstract

More information

NIST EUVL Metrology Programs

NIST EUVL Metrology Programs NIST EUVL Metrology Programs S.Grantham, C. Tarrio, R.E. Vest, Y. Barad, S. Kulin, K. Liu and T.B. Lucatorto National Institute of Standards and Technology (NIST) Gaithersburg, MD USA L. Klebanoff and

More information

Components of Optical Instruments. Chapter 7_III UV, Visible and IR Instruments

Components of Optical Instruments. Chapter 7_III UV, Visible and IR Instruments Components of Optical Instruments Chapter 7_III UV, Visible and IR Instruments 1 Grating Monochromators Principle of operation: Diffraction Diffraction sources: grooves on a reflecting surface Fabrication:

More information

Dynamic spatial pulse shaping via a digital micromirror device for patterned laser-induced forward transfer of solid polymer films

Dynamic spatial pulse shaping via a digital micromirror device for patterned laser-induced forward transfer of solid polymer films Dynamic spatial pulse shaping via a digital micromirror device for patterned laser-induced forward transfer of solid polymer films Daniel J Heath,* Matthias Feinaeugle, James A Grant-Jacob, Ben Mills,and

More information

Mask projection surface structuring

Mask projection surface structuring Willkommen Welcome Bienvenue Mask projection surface structuring Patrik Hoffmann Advanced Materials Processing Empa Thun, Switzerland EPHJ - Geneva, 18.6.2014 Outline Ablation process - limitations Excimer

More information

Working in Visible NHMFL

Working in Visible NHMFL Working in Visible Optics @ NHMFL NHMFL Summer School 05-19-2016 Stephen McGill Optical Energy Range Energy of Optical Spectroscopy Range SCM3 Optics Facility Energy Range of Optical Spectroscopy SCM3

More information

Nature Methods: doi: /nmeth Supplementary Figure 1

Nature Methods: doi: /nmeth Supplementary Figure 1 . Supplementary Figure 1 Schematics and characterization of our AO two-photon fluorescence microscope. (a) Essential components of our AO two-photon fluorescence microscope: Ti:Sapphire laser; optional

More information

SURFACE ANALYSIS STUDY OF LASER MARKING OF ALUMINUM

SURFACE ANALYSIS STUDY OF LASER MARKING OF ALUMINUM SURFACE ANALYSIS STUDY OF LASER MARKING OF ALUMINUM Julie Maltais 1, Vincent Brochu 1, Clément Frayssinous 2, Réal Vallée 3, Xavier Godmaire 4 and Alex Fraser 5 1. Summer intern 4. President 5. Chief technology

More information

New Optics for Astronomical Polarimetry

New Optics for Astronomical Polarimetry New Optics for Astronomical Polarimetry Located in Colorado USA Topics Components for polarization control and polarimetry Organic materials Liquid crystals Birefringent polymers Microstructures Metrology

More information

Laser Induced Damage Threshold of Optical Coatings

Laser Induced Damage Threshold of Optical Coatings White Paper Laser Induced Damage Threshold of Optical Coatings An IDEX Optics & Photonics White Paper Ronian Siew, PhD Craig Hanson Turan Erdogan, PhD INTRODUCTION Optical components are used in many applications

More information

Sub-50 nm period patterns with EUV interference lithography

Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering 67 68 (2003) 56 62 www.elsevier.com/ locate/ mee Sub-50 nm period patterns with EUV interference lithography * a, a a b b b H.H. Solak, C. David, J. Gobrecht, V. Golovkina,

More information

Romania and High Power Lasers Towards Extreme Light Infrastructure in Romania

Romania and High Power Lasers Towards Extreme Light Infrastructure in Romania Romania and High Power Lasers Towards Extreme Light Infrastructure in Romania Razvan Dabu, Daniel Ursescu INFLPR, Magurele, Romania Contents GiWALAS laser facility TEWALAS laser facility CETAL project

More information

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope Kenichi Ikeda 1, Hideyuki Kotaki 1 ' 2 and Kazuhisa Nakajima 1 ' 2 ' 3 1 Graduate University for Advanced

More information

Applications of Steady-state Multichannel Spectroscopy in the Visible and NIR Spectral Region

Applications of Steady-state Multichannel Spectroscopy in the Visible and NIR Spectral Region Feature Article JY Division I nformation Optical Spectroscopy Applications of Steady-state Multichannel Spectroscopy in the Visible and NIR Spectral Region Raymond Pini, Salvatore Atzeni Abstract Multichannel

More information

Photon Diagnostics. FLASH User Workshop 08.

Photon Diagnostics. FLASH User Workshop 08. Photon Diagnostics FLASH User Workshop 08 Kai.Tiedtke@desy.de Outline What kind of diagnostic tools do user need to make efficient use of FLASH? intensity (New GMD) beam position intensity profile on the

More information

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source 2015 International Workshop on EUV and Soft X-Ray Sources Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source T. Parkman 1, M. F. Nawaz 2, M. Nevrkla 2, M. Vrbova 1, A. Jancarek

More information

Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin

Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin film is characterized by using an optical profiler (Bruker ContourGT InMotion). Inset: 3D optical

More information

Sintec Optronics Pte Ltd Blk 134 Jurong East St 13 #04-309D Singapore Tel: (65) Fax:

Sintec Optronics Pte Ltd Blk 134 Jurong East St 13 #04-309D Singapore Tel: (65) Fax: Sintec Optronics Pte Ltd Blk 134 Jurong East St 13 #04-309D Singapore 600134 Tel: (65) 6862-7224 Fax: 6793-8060 E-mail: htinfo@singnet.com.sg Excimer laser drilling of polymers Y. H. Chen a, H. Y. Zheng

More information

Swiss Photonics Workshop on SLM

Swiss Photonics Workshop on SLM Swiss Photonics Workshop on SLM Grating Light Valve Technology & Applications Ecole Polytechnique Fédérale de Lausanne October 2017 Outline GLV Technology Direct Write Applications Emerging Applications

More information

Holography as a tool for advanced learning of optics and photonics

Holography as a tool for advanced learning of optics and photonics Holography as a tool for advanced learning of optics and photonics Victor V. Dyomin, Igor G. Polovtsev, Alexey S. Olshukov Tomsk State University 36 Lenin Avenue, Tomsk, 634050, Russia Tel/fax: 7 3822

More information

Introduction to Laser Material Processing

Introduction to Laser Material Processing Introduction to Laser Processing Laser material processing utilizes laser energy to modify the shape or appearance of a material. This method of material modification provides numerous advantages to customers

More information

New techniques for laser micromachining MEMS devices

New techniques for laser micromachining MEMS devices New techniques for laser micromachining MEMS devices Charles Abbott, Ric Allott, Bob Bann, Karl Boehlen, Malcolm Gower, Phil Rumsby, Ines Stassen- Boehlen and Neil Sykes Exitech Ltd, Oxford Industrial

More information

Device design for global shutter operation in a 1.1-um pixel image sensor and its application to nearinfrared

Device design for global shutter operation in a 1.1-um pixel image sensor and its application to nearinfrared Device design for global shutter operation in a 1.1-um pixel image sensor and its application to nearinfrared sensing Zach M. Beiley Robin Cheung Erin F. Hanelt Emanuele Mandelli Jet Meitzner Jae Park

More information

PGx11 series. Transform Limited Broadly Tunable Picosecond OPA APPLICATIONS. Available models

PGx11 series. Transform Limited Broadly Tunable Picosecond OPA APPLICATIONS. Available models PGx1 PGx3 PGx11 PT2 Transform Limited Broadly Tunable Picosecond OPA optical parametric devices employ advanced design concepts in order to produce broadly tunable picosecond pulses with nearly Fourier-transform

More information

Practical Applications of Laser Technology for Semiconductor Electronics

Practical Applications of Laser Technology for Semiconductor Electronics Practical Applications of Laser Technology for Semiconductor Electronics MOPA Single Pass Nanosecond Laser Applications for Semiconductor / Solar / MEMS & General Manufacturing Mark Brodsky US Application

More information

Microelectronics Packaging AS FEATURES GET SMALLER, THE ROLE FOR LASERS GETS LARGER

Microelectronics Packaging AS FEATURES GET SMALLER, THE ROLE FOR LASERS GETS LARGER MEMS ARTICLE Microelectronics Packaging AS FEATURES GET SMALLER, THE ROLE FOR LASERS GETS LARGER DIRK MÜLLER, MICROELECTRONICS AND SOLAR MARKET SEGMENT MANAGER, RALPH DELMDAHL, PRODUCT MARKETING MANAGER,

More information

Copyright 2000 Society of Photo Instrumentation Engineers.

Copyright 2000 Society of Photo Instrumentation Engineers. Copyright 2000 Society of Photo Instrumentation Engineers. This paper was published in SPIE Proceedings, Volume 4043 and is made available as an electronic reprint with permission of SPIE. One print or

More information

3D light microscopy techniques

3D light microscopy techniques 3D light microscopy techniques The image of a point is a 3D feature In-focus image Out-of-focus image The image of a point is not a point Point Spread Function (PSF) 1D imaging 1 1 2! NA = 0.5! NA 2D imaging

More information

Wavelength switching using multicavity semiconductor laser diodes

Wavelength switching using multicavity semiconductor laser diodes Wavelength switching using multicavity semiconductor laser diodes A. P. Kanjamala and A. F. J. Levi Department of Electrical Engineering University of Southern California Los Angeles, California 989-1111

More information

Micro-Mechanical Slit Positioning System as a Transmissive Spatial Light Modulator

Micro-Mechanical Slit Positioning System as a Transmissive Spatial Light Modulator Micro-Mechanical Slit Positioning System as a Transmissive Spatial Light Modulator Rainer Riesenberg Institute for Physical High Technology, P.O.Box 100 239, 07702 Jena, Germany ABSTRACT Micro-slits have

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi:10.1038/nature10864 1. Supplementary Methods The three QW samples on which data are reported in the Letter (15 nm) 19 and supplementary materials (18 and 22 nm) 23 were grown

More information

Simulation of High Resistivity (CMOS) Pixels

Simulation of High Resistivity (CMOS) Pixels Simulation of High Resistivity (CMOS) Pixels Stefan Lauxtermann, Kadri Vural Sensor Creations Inc. AIDA-2020 CMOS Simulation Workshop May 13 th 2016 OUTLINE 1. Definition of High Resistivity Pixel Also

More information

DESIGN NOTE: DIFFRACTION EFFECTS

DESIGN NOTE: DIFFRACTION EFFECTS NASA IRTF / UNIVERSITY OF HAWAII Document #: TMP-1.3.4.2-00-X.doc Template created on: 15 March 2009 Last Modified on: 5 April 2010 DESIGN NOTE: DIFFRACTION EFFECTS Original Author: John Rayner NASA Infrared

More information

Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors

Micro-sensors - what happens when you make classical devices small: MEMS devices and integrated bolometric IR detectors Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors Dean P. Neikirk 1 MURI bio-ir sensors kick-off 6/16/98 Where are the targets

More information

Shaping light in microscopy:

Shaping light in microscopy: Shaping light in microscopy: Adaptive optical methods and nonconventional beam shapes for enhanced imaging Martí Duocastella planet detector detector sample sample Aberrated wavefront Beamsplitter Adaptive

More information

Please contact T E L : ~ 4.

Please contact T E L : ~ 4. Please contact T E L : +82-32-623-6320~ 4 E-MAIL : sales@kortherm.co.kr jshuh@kortherm.co.kr 1. LASER SAMPLE TEST - To serve companies and individuals who need sample tests before buying new laser micromachining

More information

PHY 431 Homework Set #5 Due Nov. 20 at the start of class

PHY 431 Homework Set #5 Due Nov. 20 at the start of class PHY 431 Homework Set #5 Due Nov. 0 at the start of class 1) Newton s rings (10%) The radius of curvature of the convex surface of a plano-convex lens is 30 cm. The lens is placed with its convex side down

More information

High Energy Non - Collinear OPA

High Energy Non - Collinear OPA High Energy Non - Collinear OPA Basics of Operation FEATURES Pulse Duration less than 10 fs possible High Energy (> 80 microjoule) Visible Output Wavelength Tuning Computer Controlled Tuning Range 250-375,

More information

Lec. 26, Thursday, April 15 Chapter 14: Holography. Hologram

Lec. 26, Thursday, April 15 Chapter 14: Holography. Hologram Lec. 26, Thursday, April 15 Chapter 14: Holography We are here How to make a hologram Clever observations about holograms Integral hologram White light hologram Supplemental material: CCD imaging Digital

More information

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith 3. Spatial-Phase-Locked Electron-Beam Lithography Sponsors: No external sponsor Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry

More information

J-KAREN-P Session 1, 10:00 10:

J-KAREN-P Session 1, 10:00 10: J-KAREN-P 2018 Session 1, 10:00 10:25 2018 5 8 Outline Introduction Capabilities of J-KAREN-P facility Optical architecture Status and implementation of J-KAREN-P facility Amplification performance Recompression

More information

Micropulse Duty Cycle. # of eyes (20 ms) Total spots (200 ms)

Micropulse Duty Cycle. # of eyes (20 ms) Total spots (200 ms) Micropulse Duty Cycle Total spots (2 ms) # of eyes (2 ms) Total spots (2 ms) % 269 44 3 47% 9 4 4 25% 3 5 4 4 5% 2 4 3 5 2% 5 2 NA NA 9% 2 4 6% NA NA 57 2 5% 4 5 6 3 3% 39 5 35 5 # of eyes (2 ms) Supplemental

More information

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Panel discussion Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Akira Endo * Extreme Ultraviolet Lithography System Development Association Gigaphoton Inc * 2008 EUVL Workshop 11

More information

A proposal for the measurement of the non-stationary Casimir effect

A proposal for the measurement of the non-stationary Casimir effect A proposal for the measurement of the non-stationary Casimir effect Giuseppe Ruoso INFN - Laboratori Nazionali di Legnaro - aim of the experiment - mechanical and effective motion - experimental set-up

More information

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626 OPTI510R: Photonics Khanh Kieu College of Optical Sciences, University of Arizona kkieu@optics.arizona.edu Meinel building R.626 Announcements Homework #3 is due today No class Monday, Feb 26 Pre-record

More information

Carbon Nanotube Radiometer for Cryogenic Calibrations

Carbon Nanotube Radiometer for Cryogenic Calibrations Carbon Nanotube Radiometer for Cryogenic Calibrations Solomon I. Woods a, Julia K. Scherschligt a, Nathan A. Tomlin b, John H. Lehman b a National Institute of Standards and Technology, 100 Bureau Drive,

More information

Guided resonance reflective phase shifters

Guided resonance reflective phase shifters Guided resonance reflective phase shifters Yu Horie, Amir Arbabi, and Andrei Faraon T. J. Watson Laboratory of Applied Physics, California Institute of Technology, 12 E. California Blvd., Pasadena, CA

More information

EUV Interference Lithography in NewSUBARU

EUV Interference Lithography in NewSUBARU EUV Interference Lithography in NewSUBARU Takeo Watanabe 1, Tae Geun Kim 2, Yasuyuki Fukushima 1, Noki Sakagami 1, Teruhiko Kimura 1, Yoshito Kamaji 1, Takafumi Iguchi 1, Yuuya Yamaguchi 1, Masaki Tada

More information

Ultrafast Lasers with Radial and Azimuthal Polarizations for Highefficiency. Applications

Ultrafast Lasers with Radial and Azimuthal Polarizations for Highefficiency. Applications WP Ultrafast Lasers with Radial and Azimuthal Polarizations for Highefficiency Micro-machining Applications Beneficiaries Call Topic Objective ICT-2013.3.2 Photonics iii) Laser for Industrial processing

More information

Precision Cold Ablation Material Processing using High-Power Picosecond Lasers

Precision Cold Ablation Material Processing using High-Power Picosecond Lasers Annual meeting Burgdorf Precision Cold Ablation Material Processing using High-Power Picosecond Lasers Dr. Kurt Weingarten kw@time-bandwidth.com 26 November 2009 Background of Time-Bandwidth Products First

More information

ESCC2006 European Supply Chain Convention

ESCC2006 European Supply Chain Convention ESCC2006 European Supply Chain Convention PCB Paper 20 Laser Technology for cutting FPC s and PCB s Mark Hüske, Innovation Manager, LPKF Laser & Electronics AG, Germany Laser Technology for cutting FPCs

More information

Scanless two-photon excitation of channelrhodopsin-2

Scanless two-photon excitation of channelrhodopsin-2 Nature Methods Scanless two-photon excitation of channelrhodopsin- Eirini Papagiakoumou, Francesca Anselmi, Aurelien Begue, Vincent de Sars, Jesper Glückstad, Ehud Y Isacoff & Valentina Emiliani Supplementary

More information

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith 9. Interference Lithography Sponsors: National Science Foundation, DMR-0210321; Dupont Agreement 12/10/99 Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg,

More information

System demonstrator for board-to-board level substrate-guided wave optoelectronic interconnections

System demonstrator for board-to-board level substrate-guided wave optoelectronic interconnections Header for SPIE use System demonstrator for board-to-board level substrate-guided wave optoelectronic interconnections Xuliang Han, Gicherl Kim, Hitesh Gupta, G. Jack Lipovski, and Ray T. Chen Microelectronic

More information

Lecture 5. SPR Sensors: Principle and Instrumentation.

Lecture 5. SPR Sensors: Principle and Instrumentation. Lecture 5 Optical sensors. SPR Sensors: Principle and Instrumentation. t ti Optical sensors What they can be based on: Absorption spectroscopy (UV-VIS, VIS IR) Fluorescence/phosphorescence spectroscopy

More information

Adaptive optics for laser-based manufacturing processes

Adaptive optics for laser-based manufacturing processes Adaptive optics for laser-based manufacturing processes Rainer Beck 1, Jon Parry 1, Rhys Carrington 1,William MacPherson 1, Andrew Waddie 1, Derryck Reid 1, Nick Weston 2, Jon Shephard 1, Duncan Hand 1

More information

Low threshold continuous wave Raman silicon laser

Low threshold continuous wave Raman silicon laser NATURE PHOTONICS, VOL. 1, APRIL, 2007 Low threshold continuous wave Raman silicon laser HAISHENG RONG 1 *, SHENGBO XU 1, YING-HAO KUO 1, VANESSA SIH 1, ODED COHEN 2, OMRI RADAY 2 AND MARIO PANICCIA 1 1:

More information

Pulse Shaping Application Note

Pulse Shaping Application Note Application Note 8010 Pulse Shaping Application Note Revision 1.0 Boulder Nonlinear Systems, Inc. 450 Courtney Way Lafayette, CO 80026-8878 USA Shaping ultrafast optical pulses with liquid crystal spatial

More information

Superconducting Nanowire Single Photon Detector (SNSPD) integrated with optical circuits

Superconducting Nanowire Single Photon Detector (SNSPD) integrated with optical circuits Superconducting Nanowire Single Photon Detector (SNSPD) integrated with optical circuits Marcello Graziosi, ESR 3 within PICQUE (Marie Curie ITN project) and PhD student marcello.graziosi@ifn.cnr.it Istituto

More information

AP B Webreview ch 24 diffraction and interference

AP B Webreview ch 24 diffraction and interference Name: Class: _ Date: _ AP B Webreview ch 24 diffraction and interference Multiple Choice Identify the choice that best completes the statement or answers the question.. In order to produce a sustained

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Improving the Collection Efficiency of Raman Scattering

Improving the Collection Efficiency of Raman Scattering PERFORMANCE Unparalleled signal-to-noise ratio with diffraction-limited spectral and imaging resolution Deep-cooled CCD with excelon sensor technology Aberration-free optical design for uniform high resolution

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for Materials Horizons. This journal is The Royal Society of Chemistry 2017 Supporting Information Nanofocusing of circularly polarized Bessel-type plasmon polaritons

More information

Physical Optics. Diffraction.

Physical Optics. Diffraction. Physical Optics. Diffraction. Interference Young s interference experiment Thin films Coherence and incoherence Michelson interferometer Wave-like characteristics of light Huygens-Fresnel principle Interference.

More information

Spectral Sensitivity and Temporal Resolution of NbN Superconducting Single-Photon Detectors

Spectral Sensitivity and Temporal Resolution of NbN Superconducting Single-Photon Detectors Spectral Sensitivity and Temporal Resolution of NbN Superconducting Single-Photon Detectors A. Verevkin, J. Zhang l, W. Slysz-, and Roman Sobolewski3 Department of Electrical and Computer Engineering and

More information

Heriot-Watt University

Heriot-Watt University Heriot-Watt University Heriot-Watt University Research Gateway Shaping the surface of optical glasses with picosecond laser and adaptive optics Wlodarczyk, Krystian Lukasz; Albri, Frank; Beck, Rainer J.;

More information

Waveguide superconducting single-photon detectors for Integrated Quantum Photonic devices

Waveguide superconducting single-photon detectors for Integrated Quantum Photonic devices Waveguide superconducting single-photon detectors for Integrated Quantum Photonic devices KOBIT- 1 Izmir Yuksek Teknoloji Enstitusu Döndü Sahin QET Labs, d.sahin@bristol.ac.uk EU-FP7 Implementing QNIX

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Transfer printing stacked nanomembrane lasers on silicon Hongjun Yang 1,3, Deyin Zhao 1, Santhad Chuwongin 1, Jung-Hun Seo 2, Weiquan Yang 1, Yichen Shuai 1, Jesper Berggren 4, Mattias Hammar 4, Zhenqiang

More information