Real-Time License Plate Localisation on FPGA

Size: px
Start display at page:

Download "Real-Time License Plate Localisation on FPGA"

Transcription

1 Real-Time License Plate Localisation on FPGA X. Zhai, F. Bensaali and S. Ramalingam School of Engineering & Technology University of Hertfordshire Hatfield, UK {x.zhai, f.bensaali, Abstract Automatic Number Plate Recognition (ANPR) systems have become an important tool to track stolen car, access control and monitor the traffic. The fundamental requirements of an ANPR system are image capture using an ANPR camera, and processing of the captured image. The image processing part, which is a computationally intensive task, includes two stages i.e. plate localisation and character recognition. This paper presents an improved license plate localisation () algorithm based on modified Sobel vertical edge detection operator and two morphological operations suitable for FPGA implementation. The algorithm has been successfully implemented on a Xilinx Virtex-4 FPGA and tested using a database of 1000 images that contains UK number plates. It consumes 28% of the available on-chip resources, runs with a maximum frequency of MHz, has a detection rate of 99.1% and capable of processing one image ( ) in 3.8ms. 1. Introduction Automatic Number Plate Recognition (ANPR) systems are rapidly becoming used for a vast number of applications. These include, e.g. automatic congestion charge systems, access control, tracing of stolen cars, or identification of dangerous drivers, and automatic Electronic Toll Collection (ETC) system [1, 2]. The main task in an ANPR is the processing of the captured image. This task is computationally intensive and includes two stages: (i) license plate localisation (); and (ii) optical character recognition (OCR). normally requires two major sub-tasks. The first one is to enhance the License Plate (LP) and eliminate Non-License Plate (Non-LP) areas and the second one is extracting the LP. Once the LP is detected, the symbols or characters on the LP will be segmented before starting the next sub-task (i.e. OCR). From the LP, only segmented symbols or characters will be kept to be used by an OCR algorithm where they will be converted into a text format. ANPR has to be performed under real-time constrains in order to work fast enough to accommodate relative speeds of more than 70 mph (110 km/h) on a busy highway. Therefore, the common hardware choice for its implementation is often high performance workstations and expensive supercomputers connected to an ANPR camera. However, the cost, compactness and power issues that come with these solutions motivate the search for other platforms. Recent improvements in the computing power of Field Programmable Gate Arrays (FPGAs) and Digital Signal Processors (DSPs) have motivated researchers to consider them as low cost solution for accelerating such computationally intensive task [3]. This paper presents an improved algorithm with a high detection rate based on a combination of Sobel operator and two morphological operations. The paper also presents a new speed and area-efficient architecture based on the proposed algorithm suitable for FPGA implementation. The proposed architecture is implemented and verified using the Mentor Graphics RC240 FPGA development board equipped with a 4M Gates Xilinx Virtex-4 LX40 and a database of 1000 UK car images with a resolution of A Matlab implementation of the proposed algorithm was used as a proof of concept prior to the hardware implementation. The rest of this paper is organised as follows. Related work is reviewed in Section 2. Section 3 is concerned with the description of the proposed improved algorithm. The proposed architecture is described in Section 4. The FPGA implementation and experimental results are provided and discussed in Section 5. Section 6 concludes the paper. 2. Related Work Recent advances in technology have taken ANPR systems from fixed applications to mobile ones. Recent improvements in the computing power of FPGAs and DSPs have motivated researchers to consider them as an alternative solution to implement ANPR systems. These devices can be used as low-cost System-on-chip solution that allows the processing FPGA or DSP unit to be placed within an ANPR cameras housing to create intelligent cameras, which can record and process images for sending back to a server directly. A variety of algorithms have been used in FPGA and DSP-based ANPR systems. These include AdaBoost and SVM [3, 4], Gabor filter [5], 14

2 morphological operation [6], background modelling [3] and pixels classification [7]. However, these algorithms are either computationally expensive (i.e. high execution time) or have low detection rate which affect their practicability. The only work that involves the use of only one FPGA to implement an ANPR system or part of it is the work presented in [7]. Results show that it has the fastest processing speed to locate the LP with a relatively low detection rate compare to other existing work. Table 1 summarises the most recent existing FPGA and DSP-based ANPR systems. Table 1: Existing Hardware-Based ANPR Systems ANPR System Background Modelling based system [3] AdaBoost and SVM based system [4] Gabor Filter based system [5] Morphological Operation based system [6] Pixels Classification based system [7] System Part Rate (%) 96 Whole ANPR system Whole ANPR system Whole ANPR system Hardware Platform TI C6414 DSP and Altera FPGA TI C64 DSP and PC FPGA Virtex IV FPGA Virtex II Pro and PC FPGA Virtex II pro 3. Algorithm Based on Sobel Vertical Edge Operator Speed (ms) < The license plate region normally has high density of vertical edge information compared to other parts of the car [1]. One of the efficient methods to extract this vertical information is the use of Sobel vertical edge detection operator [1]. In order to extract the correct plate region, the use of two morphological operations has been proposed to enhance the vertical information. Figure 1 shows the flowchart of the overall proposed system Plate Feature Extraction The proposed algorithm employs a Sobel vertical edge detection operator to eliminate Non-LP area, where the most of unwanted information will be erased after utilising a specific threshold. Figure 2 shows the vertical Sobel operator. Figure 2: Sobel vertical operator. Once the vertical operator multiplies its corresponding 3 3 pixels and scans the entire image, a gradient value based image is obtained, where the range of these values reflects the difference between the two neighbour pixels at the horizontal direction. Because the plate region has very high variability of grey-level, the gradient values within the plate region are larger than the other gradient value within other Non-LP regions. Therefore, a proper threshold can easily separate LP regions and Non-LP regions. Figure 3 shows this process. Figure 3: Sobel vertical edge detection operator and thresholding processes. (a) Greyscale Image; (b) Vertical Gradient Image; (c) Binary Edge Image. Although the most unwanted information can be eliminated after the thresholding, some of the information remains on Non-LP region when under complex background environments are considered. Therefore, two morphological operations are introduced. A morphological close operation firstly is used for fusing pixels together, and then a morphological open is used for filtering out the rest of the unwanted information. The Structuring Elements (SEs) used for these morphological operations are shown in Figure 4. Figure 1: Block diagram of the Sobel operator based system. Figure 4: (a) The 3 22 rectangle shaped SE for Close Operation; (b) The 3 5 rectangle shaped SE for Open Operation. The close operation uses the 3 22 rectangle shaped SE to effectively fuse the binary edge information in the LP region. The close operation is followed by an open operation that uses a 3 5 rectangle shaped SE to remove some small Non-LP areas where the size of connected pixel groups is smaller than this SE. Figure 5 shows the result of this process. 15

3 Figure 5: The Figure 3 (c) after using morphological close (a) and open operations (b) Selection of Candidate Region As it can be seen from Figure 5, the LP region in the result image can be easily extracted using Connected Component Analysis (CCA), where two selection conditions are used. In the proposed work, the CCA uses a 4-connectivity method, and labels them using different number. Once all groups of pixels have been determined, each pixel is labelled based on the group it belongs to. Therefore, a set of potential candidates can be selected from the image using the known geometrical conditions, which are mainly consisted by the length, width and ratio of plate region. Let P denote the extracted plate region with the size W L, the first criterion is the ratio R between the width and length of P (i.e. R = L/W ). The second criterion is the ranges of W and L. The third criterion is the area of P. The ranges of W, L and R were selected to be relatively large enough to cover most of the possible sizes of the plate region in the database. Figure 6 shows a flowchart that illustrates the selection process. Figure 6: Selection process flowchart. Basically, there are two selection conditions (Condition1 and Condition2) used for this purpose. For both conditions, the length, width, area and ratio of LP are considered. Condition1 is stricter than Condition2 where some of the candidates may not meet Condition1 but can meet Condition2. The maximum and minimum coordinates of the rectangular plate regions that pass one of the conditions are returned. Normally, the strictest condition (i.e. Condition1) is perfectly suited for selecting candidates from clear images; while Condition2 can be used for selecting candidates from bad quality images. The experiment results show that about 96% of the test images met Condition1 and 75% of the remaining images met Condition2, which means this extra condition can further increase the detection rate by around 3% with no significant increase in the execution time. The final license plate will be extracted from original greyscale image. Figure 7 shows the selected license plate. Figure 7: Selection of license plate. (a) Labelled Image; (b) License Plate. The proposed algorithm was first tested in Matlab environment using a database of 1,000 images containing UK number plates. The images are taken from car parks and motorway during the daytime and evening time (Infrared Images). The implementation results show a detection rate of 99% on this database. The algorithm proposed in [8] is also based on a combination of Sobel and Morphological Operations. One of the main advantages of the proposed algorithm compared to the one proposed in [8] is that it uses only one morphological open operation to eliminate Non-LP area, while the algorithm proposed in [8] uses three morphological open and one image subtraction operations to eliminate Non-LP area before applying CCA algorithm, which requires extra time for Non-LP area elimination and affects the performance of the entire ANPR system. In addition to the above, our proposed algorithm uses two geometrical conditions to select LP region, while the algorithm proposed in [8] uses single geometrical condition to perform the LP region selection. The two geometrical conditions increase the detection rate in the case of images taken in complex environments. 4. Proposed Architecture The proposed algorithm uses morphological operations which are multiplier/divider-free operations. This feature makes the proposed algorithm suitable for FPGA implementation as less hardware resources will be required. In addition to the above, the parallelism offered by FPGAs can be exploited to implement Sobel Operator. The building blocks of the proposed architecture are shown in Figure 8. They consist of a memory reader, a converter module, vertical Sobel operator, morphological and CCA modules. Figure 8: The building blocks of the proposed architecture. 16

4 4.1. Memory Reader and Converter Modules The memory reader module is used to read the RGB values for each pixel from the original RGB image which has a size of and assign a position coordinate to it. For this architecture the converter module converts the RGB (24 bits) pixel streams into 16-bit greyscale. Because the 8-bit word length is not enough to cover all the range of pixel values after performing Sobel vertical edge operator, the word length of each pixel value is extended to 16 bits, which includes 1 sign bit. This module is also used for the greyscale to binary conversion using a fix threshold of 650 out of 1785, which means all values less than 650 will be treated as 0 and values larger or equal 650 will be treated as Sobel Vertical Edge Detection Operator The Sobel vertical edge detection operator module consists of two sub-modules, which are an N3 window extraction and convolution modules. The two sub-modules run in parallel. The N3 denotes a local neighbourhood of size 3 3 used to achieve pixel level operations. Figure 9 shows this process. Each clock cycle, the 16-bit pixel values will be passed into this module one by one. The same pixel value will be sent to Line Buffer 0 and Stage 0 at the same time. Once Line Buffer 0 is full, the pixel will be passed to Line Buffer 1; meantime, the values in Stage 0 and Stage 1 will be updated accordingly. After [(640 2)+2+3] clock cycles the first 3 3 matrix will be filed and after that the throughput rate of the matrices is one clock cycle (640 is the size of each row in the image, 2 is the number of buffers used and 3 is number of columns of the matrix). Figure 9: The block diagram of the N3 window extractor. The second sub-module is the Sobel vertical edge detection operator module, where the convolution of N3 and 3 3 mask is calculated. In order to simplify the setting of threshold, the Sobel vertical mask has been modified as shown in Figure 10. Figure 10: Sobel vertical edge detection mask for FPGA. The mask is designed for calculating the variance of edge information from horizontal direction. Firstly, this calculation produces the gradient value in horizontal orientation (Gx) and then the absolute magnitude of the horizontal gradient is calculated. The gradient magnitude actually can indicate where the vertical edges are, and using this modified mask larger gradient value can be obtained. This helps to set a single threshold for segmenting LP and Non-LP region. Figure 11 shows a block diagram of this convolution process. Figure 11: Block diagram of convolution process. In Figure 11, the group of pixels (P1, P2, P3), (P4, P5, P6) and (P7, P8, P9) are multiplied simultaneously by (-1, 0, +1), (-7, 0, +7) and (-1, 0, +1) respectively followed by an addition of the partial products. An additional addition is applied to the three results from the previous calculation Morphological and CCA Modules The morphological operations module consists of two sub-modules, which includes the morphological close and the morphological open sub-modules. The morphological close operation and the morphological open operation can be divided into morphological dilation and erosion operations. The binary dilation calculates the maximum pixel value in a specific SE. On the contrary, the binary erosion calculates the minimum value in a specific SE. The proposed algorithm uses a 3 22 rectangular shaped SE, however, for efficient hardware implementation where parallelism can be exploited, this rectangular shaped SE has been decomposed into two smaller rectangular SEs with sizes 1 22 and 3 1. Figure 12 shows the block diagram of the proposed pipelined dilation filter. Initially, the value of current input pixel (binary) is simultaneously passed into the internal Stage 0 and Line Buffer 0 then after every clock cycle it is passed to the next stage until it reaches Stage 21 and then the maximum pixel value of the current 22 pixels in the 22 stages is calculated. Meanwhile, the values of the pixels from two consecutive lines of the 17

5 binary image (i.e. 640 pixels per line) are stored into the two line buffers in order to calculate the maximum value from three consecutive pixels from the same column. The first origin of SE (1 22) is the twelfth pixel of the first line, so the first coordinate of output should be kept same as the coordinate of the twelfth pixel instead of the coordinate of the current input pixel. Figure 12: The block diagram of a pipelined dilation filter (3 22). The structure of the erode filter is similar to the dilate filter. The only difference is that the minimum value of the pixels is calculated instead of the maximum one. Figure 13 shows the block diagram of a pipelined erode filter. In the proposed architecture, there are two different SEs used for the two morphological operations (i.e. rectangle shaped SEs: 3 5 and 3 22) which are easily implemented using the block diagrams shown in Figures 12 and 13 by simply changing the number of stages (i.e. if the size of SE is 3 5, it requires five stages). Figure 13: The pipelined erode filter (3 22). The CCA module is used to mark and select candidate plate region from the entire binary image. Generally, the pixels of the input pixel stream are divided into several groups or blobs by the CCA module. The grouping is based on the pixels connectivity. Figure 14 demonstrates this procedure. Figure 14: The block diagram of CCA. The grouping is performed as follows. The binary stream is scanned from left to right starting from the top line. For instance, a comparison between the current pixel P1 from Figure 14, its upper pixel P1A and left pixel P1L, which have already been grouped, is performed. All pixels with value 0 will be assigned to one group with an index 0. If the value of P1 is 1 and the indexes of its neighbours are the same and not 0 then P1 will be assigned the same index as its neighbours. If the indexes of the two neighbours are different and not 0, then the indexes of this pixel and its upper neighbour P1A will be the same as its left neighbour (i.e. P1L ). If the indexes of the two neighbours are different and one of them is 0, then the index of this pixel will be the non-zero index of its neighbour. If the pixel value is 1 but the indexes of its neighbours are both 0, the index of a new group will be assigned to this pixel. Finally, the coordinates of each rectangular shaped group are recorded for the selection of candidates. Once the whole image is scanned, a selection of candidate region is performed using the selection process shown in Figure 6 which is mainly based on the geometrical relationship of LP region. 5. FPGA Implementation and Results The proposed architecture for has been successfully simulated in PAL Virtual Platform (PALSim) [9]. The total numbers of clock cycles for processing one image is After simulation, the architecture has been successfully implemented and verified using the Mentor Graphics RC240 FPGA development board equipped with a 4M Gates Xilinx Virtex-4 LX40 [10]. Handel-C and PixelStreams which is a library that can be used for rapid development of video image streaming applications have been used for the hardware description of the proposed architecture [11]. Table 2 shows the on-chip resource usage of the proposed architecture. Table 2: On-Chip Resources Usage Used Available Utilisation Occupied Slices 5,195 18,432 28% LUTs 7,168 36,864 19% Block Rams % The proposed architecture only requires about 28% on-chip resources, which means the 72% remaining on-chip 18

6 resources can be used for implementing the second part of ANPR (i.e. Segmentation of LP and OCR). The maximum running frequency is MHz. The execution time for processing one frame can be roughly calculated using the following equation: c f Where T is execution time in ms; C is the number of clock cycles needed for one image; and f is the maximum running frequency. Based on Equation 1, the architecture can process one image and produce a result in 3.8 ms. This means that the proposed architecture satisfy the minimum requirement for real-time processing. The result achieved in terms of maximum running frequency and area used for implementing this important part of an ANPR system shows that there is enough room for implementing the whole ANPR system on one FPGA. The hardware implementation of the proposed algorithm has been compared with the best two DSP and FPGA hardware implementation approaches from Table 1. The overall performances of each system are shown in Table 4. Results achieved for the FPGA implementation of proposed architecture have shown significant improvements in term of rate and execution time under higher image resolution. The proposed design uses a database of 1000 images that contains UK number plates, which includes a variety of images taken at different times and conditions (e.g. car park, motorway and night time) which significantly affect the recognition rate. Algorithm Proposed algorithm Background Modelling [3] Pixels Classification [7] Table 4: Comparison with Existing Work Device FPGA Virtex-4 DSP C6414 and FPGA FPGA Virtex II Clock Speed (MHz) Image Size (pixels) Time (ms) (1) Rate (%) (DSP) 6. Conclusions and Future work Owing to the importance of in an ANPR system, an improved algorithm based on Sobel vertical operator and two morphological operations to efficiently detect LPs have been proposed in this paper. A new architecture based on the proposed algorithm suitable for FPGA implementation has also been proposed. Hardware implementation results have shown that FPGA can be used as low cost accelerator to implement an ANPR system under real-time environment. The architecture requires 28% of the available on-chip resources of a Virtex-4 FPGA device. Parallel building blocks have been used for the FPGA implementation and the whole system runs with a maximum frequency of MHz and is capable of processing one image in 3.8 ms with a localisation rate of 99.1%. The 28% resources usage of the FPGA to implement the leaves 72% of the FPGA area free to be used for the remaining parts of an ANPR system (i.e. Segmentation of LP and OCR). This allows the entire ANPR system to be implemented on an FPGA that can be placed within an ANPR camera housing to create a standalone unit which will drastically improve energy efficiency and remove the installation and cabling costs of bulky PCs. References [1] C. N. E. Anagnostopoulos, I. E. Anagostopoulos, V. Loumos and E. Kayafas. A license plate-recognition algorithm for intelligent transportation system applications. IEEE Trans. Intell. Transp. 7(3): , [2] F. Lian, Y. Fan and Y. Zhang. Study of technology in electronic toll collection. Journal of Compute Engineering and Application, Vol.43, pp [3] C. Arth, C. Leistner and H. Bischof. TRIcam: an embedded platform for remote traffic surveillance. The IEEE Conference on Computer Vision and Pattern Recognition, pp , [4] A. Clements, L. Florian and B. Horst. Real-time license plate recognition on an embedded DSP-platform. IEEE Conference on Computer Vision and Pattern Recognition, pp.1-8, [5] H. Cancer, H. S. Gecin and A. Z. Alkar. Efficient embedded neural-network based license plate recognition system. IEEE Transactions on Vehicular Technology, 57(5): , September [6] N. Bellas, S. M. Chai, M. Dwyer and D. Linzmeiser. FPGA implementation of a license plate recognition SOC using automatically generated streaming accelerators. The International Parallel & Distributed Processing Symposium, [7] T. Kanamori, H. Amano, M. Arai, D. Konno, T. Nanba and Y. Ajioka. Implementation and evaluation of a high speed license plate recognition system on an FPGA. The 7th IEEE international Conference on Computer and information Technology, pp , [8] P. V. Suryanarayana, S. K.Mitra, A. Banerijee and A. K. Roy. A Morphology Based Approach for Car license Plate Extraction. IEEE Indicon 2005 Conference, Chennai, India, pp.11-13, [9] PixelStreams User Manual. Graphics, Mentor. Mentor Graphics Corporation, 2010, [Accessed 19 September 2010]. [10] PAL User Manual. Graphics, Mentor. Mentor Graphics Corporation, 2010, [Accessed 15 September 2010]. [11] RC240 Datasheet. Graphics, Mentor. Mentor Graphics Corporation, 2010, [Accessed 18 September 2010]. 19

License Plate Localisation based on Morphological Operations

License Plate Localisation based on Morphological Operations License Plate Localisation based on Morphological Operations Xiaojun Zhai, Faycal Benssali and Soodamani Ramalingam School of Engineering & Technology University of Hertfordshire, UH Hatfield, UK Abstract

More information

FPGA based Real-time Automatic Number Plate Recognition System for Modern License Plates in Sri Lanka

FPGA based Real-time Automatic Number Plate Recognition System for Modern License Plates in Sri Lanka RESEARCH ARTICLE OPEN ACCESS FPGA based Real-time Automatic Number Plate Recognition System for Modern License Plates in Sri Lanka Swapna Premasiri 1, Lahiru Wijesinghe 1, Randika Perera 1 1. Department

More information

An Evaluation of Automatic License Plate Recognition Vikas Kotagyale, Prof.S.D.Joshi

An Evaluation of Automatic License Plate Recognition Vikas Kotagyale, Prof.S.D.Joshi An Evaluation of Automatic License Plate Recognition Vikas Kotagyale, Prof.S.D.Joshi Department of E&TC Engineering,PVPIT,Bavdhan,Pune ABSTRACT: In the last decades vehicle license plate recognition systems

More information

VEHICLE LICENSE PLATE DETECTION ALGORITHM BASED ON STATISTICAL CHARACTERISTICS IN HSI COLOR MODEL

VEHICLE LICENSE PLATE DETECTION ALGORITHM BASED ON STATISTICAL CHARACTERISTICS IN HSI COLOR MODEL VEHICLE LICENSE PLATE DETECTION ALGORITHM BASED ON STATISTICAL CHARACTERISTICS IN HSI COLOR MODEL Instructor : Dr. K. R. Rao Presented by: Prasanna Venkatesh Palani (1000660520) prasannaven.palani@mavs.uta.edu

More information

Automatics Vehicle License Plate Recognition using MATLAB

Automatics Vehicle License Plate Recognition using MATLAB Automatics Vehicle License Plate Recognition using MATLAB Alhamzawi Hussein Ali mezher Faculty of Informatics/University of Debrecen Kassai ut 26, 4028 Debrecen, Hungary. Abstract - The objective of this

More information

Keyword: Morphological operation, template matching, license plate localization, character recognition.

Keyword: Morphological operation, template matching, license plate localization, character recognition. Volume 4, Issue 11, November 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Automatic

More information

Efficient Car License Plate Detection and Recognition by Using Vertical Edge Based Method

Efficient Car License Plate Detection and Recognition by Using Vertical Edge Based Method Efficient Car License Plate Detection and Recognition by Using Vertical Edge Based Method M. Veerraju *1, S. Saidarao *2 1 Student, (M.Tech), Department of ECE, NIE, Macherla, Andrapradesh, India. E-Mail:

More information

World Journal of Engineering Research and Technology WJERT

World Journal of Engineering Research and Technology WJERT wjert, 2017, Vol. 3, Issue 3, 357-366 Original Article ISSN 2454-695X Shagun et al. WJERT www.wjert.org SJIF Impact Factor: 4.326 NUMBER PLATE RECOGNITION USING MATLAB 1 *Ms. Shagun Chaudhary and 2 Miss

More information

INDIAN VEHICLE LICENSE PLATE EXTRACTION AND SEGMENTATION

INDIAN VEHICLE LICENSE PLATE EXTRACTION AND SEGMENTATION International Journal of Computer Science and Communication Vol. 2, No. 2, July-December 2011, pp. 593-599 INDIAN VEHICLE LICENSE PLATE EXTRACTION AND SEGMENTATION Chetan Sharma 1 and Amandeep Kaur 2 1

More information

Automatic Licenses Plate Recognition System

Automatic Licenses Plate Recognition System Automatic Licenses Plate Recognition System Garima R. Yadav Dept. of Electronics & Comm. Engineering Marathwada Institute of Technology, Aurangabad (Maharashtra), India yadavgarima08@gmail.com Prof. H.K.

More information

Implementation of License Plate Recognition System in ARM Cortex A8 Board

Implementation of License Plate Recognition System in ARM Cortex A8 Board www..org 9 Implementation of License Plate Recognition System in ARM Cortex A8 Board S. Uma 1, M.Sharmila 2 1 Assistant Professor, 2 Research Scholar, Department of Electrical and Electronics Engg, College

More information

Vehicle License Plate Recognition System Using LoG Operator for Edge Detection and Radon Transform for Slant Correction

Vehicle License Plate Recognition System Using LoG Operator for Edge Detection and Radon Transform for Slant Correction Vehicle License Plate Recognition System Using LoG Operator for Edge Detection and Radon Transform for Slant Correction Jaya Gupta, Prof. Supriya Agrawal Computer Engineering Department, SVKM s NMIMS University

More information

AN EXPANDED-HAAR WAVELET TRANSFORM AND MORPHOLOGICAL DEAL BASED APPROACH FOR VEHICLE LICENSE PLATE LOCALIZATION IN INDIAN CONDITIONS

AN EXPANDED-HAAR WAVELET TRANSFORM AND MORPHOLOGICAL DEAL BASED APPROACH FOR VEHICLE LICENSE PLATE LOCALIZATION IN INDIAN CONDITIONS AN EXPANDED-HAAR WAVELET TRANSFORM AND MORPHOLOGICAL DEAL BASED APPROACH FOR VEHICLE LICENSE PLATE LOCALIZATION IN INDIAN CONDITIONS Mo. Avesh H. Chamadiya 1, Manoj D. Chaudhary 2, T. Venkata Ramana 3

More information

Face Detection System on Ada boost Algorithm Using Haar Classifiers

Face Detection System on Ada boost Algorithm Using Haar Classifiers Vol.2, Issue.6, Nov-Dec. 2012 pp-3996-4000 ISSN: 2249-6645 Face Detection System on Ada boost Algorithm Using Haar Classifiers M. Gopi Krishna, A. Srinivasulu, Prof (Dr.) T.K.Basak 1, 2 Department of Electronics

More information

A Novel Morphological Method for Detection and Recognition of Vehicle License Plates

A Novel Morphological Method for Detection and Recognition of Vehicle License Plates American Journal of Applied Sciences 6 (12): 2066-2070, 2009 ISSN 1546-9239 2009 Science Publications A Novel Morphological Method for Detection and Recognition of Vehicle License Plates 1 S.H. Mohades

More information

An Improved Bernsen Algorithm Approaches For License Plate Recognition

An Improved Bernsen Algorithm Approaches For License Plate Recognition IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 78-834, ISBN: 78-8735. Volume 3, Issue 4 (Sep-Oct. 01), PP 01-05 An Improved Bernsen Algorithm Approaches For License Plate Recognition

More information

Number Plate Recognition Using Segmentation

Number Plate Recognition Using Segmentation Number Plate Recognition Using Segmentation Rupali Kate M.Tech. Electronics(VLSI) BVCOE. Pune 411043, Maharashtra, India. Dr. Chitode. J. S BVCOE. Pune 411043 Abstract Automatic Number Plate Recognition

More information

An Efficient Method for Vehicle License Plate Detection in Complex Scenes

An Efficient Method for Vehicle License Plate Detection in Complex Scenes Circuits and Systems, 011,, 30-35 doi:10.436/cs.011.4044 Published Online October 011 (http://.scirp.org/journal/cs) An Efficient Method for Vehicle License Plate Detection in Complex Scenes Abstract Mahmood

More information

ISSN No: International Journal & Magazine of Engineering, Technology, Management and Research

ISSN No: International Journal & Magazine of Engineering, Technology, Management and Research Design of Automatic Number Plate Recognition System Using OCR for Vehicle Identification M.Kesab Chandrasen Abstract: Automatic Number Plate Recognition (ANPR) is an image processing technology which uses

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 4.72 International Journal of Advance Engineering and Research Development Volume 4, Issue 10, October -2017 e-issn (O): 2348-4470 p-issn (P): 2348-6406 REVIEW

More information

Number Plate Recognition System using OCR for Automatic Toll Collection

Number Plate Recognition System using OCR for Automatic Toll Collection IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 10 April 2016 ISSN (online): 2349-784X Number Plate Recognition System using OCR for Automatic Toll Collection Mohini S.Karande

More information

Smart License Plate Recognition Using Optical Character Recognition Based on the Multicopter

Smart License Plate Recognition Using Optical Character Recognition Based on the Multicopter Smart License Plate Recognition Using Optical Character Recognition Based on the Multicopter Sanjaa Bold Department of Computer Hardware and Networking. University of the humanities Ulaanbaatar, Mongolia

More information

Real-Time Face Detection and Tracking for High Resolution Smart Camera System

Real-Time Face Detection and Tracking for High Resolution Smart Camera System Digital Image Computing Techniques and Applications Real-Time Face Detection and Tracking for High Resolution Smart Camera System Y. M. Mustafah a,b, T. Shan a, A. W. Azman a,b, A. Bigdeli a, B. C. Lovell

More information

Vehicle Number Plate Recognition with Bilinear Interpolation and Plotting Horizontal and Vertical Edge Processing Histogram with Sound Signals

Vehicle Number Plate Recognition with Bilinear Interpolation and Plotting Horizontal and Vertical Edge Processing Histogram with Sound Signals Vehicle Number Plate Recognition with Bilinear Interpolation and Plotting Horizontal and Vertical Edge Processing Histogram with Sound Signals Aarti 1, Dr. Neetu Sharma 2 1 DEPArtment Of Computer Science

More information

Volume 7, Issue 5, May 2017

Volume 7, Issue 5, May 2017 Volume 7, Issue 5, May 2017 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Localization Techniques

More information

Performance Evaluation of Edge Detection Techniques for Square Pixel and Hexagon Pixel images

Performance Evaluation of Edge Detection Techniques for Square Pixel and Hexagon Pixel images Performance Evaluation of Edge Detection Techniques for Square Pixel and Hexagon Pixel images Keshav Thakur 1, Er Pooja Gupta 2,Dr.Kuldip Pahwa 3, 1,M.Tech Final Year Student, Deptt. of ECE, MMU Ambala,

More information

Implementation of Face Detection System Based on ZYNQ FPGA Jing Feng1, a, Busheng Zheng1, b* and Hao Xiao1, c

Implementation of Face Detection System Based on ZYNQ FPGA Jing Feng1, a, Busheng Zheng1, b* and Hao Xiao1, c 6th International Conference on Mechatronics, Computer and Education Informationization (MCEI 2016) Implementation of Face Detection System Based on ZYNQ FPGA Jing Feng1, a, Busheng Zheng1, b* and Hao

More information

Image processing. Case Study. 2-diemensional Image Convolution. From a hardware perspective. Often massively yparallel.

Image processing. Case Study. 2-diemensional Image Convolution. From a hardware perspective. Often massively yparallel. Case Study Image Processing Image processing From a hardware perspective Often massively yparallel Can be used to increase throughput Memory intensive Storage size Memory bandwidth -diemensional Image

More information

Open Source Digital Camera on Field Programmable Gate Arrays

Open Source Digital Camera on Field Programmable Gate Arrays Open Source Digital Camera on Field Programmable Gate Arrays Cristinel Ababei, Shaun Duerr, Joe Ebel, Russell Marineau, Milad Ghorbani Moghaddam, and Tanzania Sewell Dept. of Electrical and Computer Engineering,

More information

Image Processing and Particle Analysis for Road Traffic Detection

Image Processing and Particle Analysis for Road Traffic Detection Image Processing and Particle Analysis for Road Traffic Detection ABSTRACT Aditya Kamath Manipal Institute of Technology Manipal, India This article presents a system developed using graphic programming

More information

Speed Traffic-Sign Recognition Algorithm for Real-Time Driving Assistant System

Speed Traffic-Sign Recognition Algorithm for Real-Time Driving Assistant System R3-11 SASIMI 2013 Proceedings Speed Traffic-Sign Recognition Algorithm for Real-Time Driving Assistant System Masaharu Yamamoto 1), Anh-Tuan Hoang 2), Mutsumi Omori 2), Tetsushi Koide 1) 2). 1) Graduate

More information

MAV-ID card processing using camera images

MAV-ID card processing using camera images EE 5359 MULTIMEDIA PROCESSING SPRING 2013 PROJECT PROPOSAL MAV-ID card processing using camera images Under guidance of DR K R RAO DEPARTMENT OF ELECTRICAL ENGINEERING UNIVERSITY OF TEXAS AT ARLINGTON

More information

EE 5359 MULTIMEDIA PROCESSING. Vehicle License Plate Detection Algorithm Based on Statistical Characteristics in HSI Color Model

EE 5359 MULTIMEDIA PROCESSING. Vehicle License Plate Detection Algorithm Based on Statistical Characteristics in HSI Color Model EE 5359 MULTIMEDIA PROCESSING Vehicle License Plate Detection Algorithm Based on Statistical Characteristics in HSI Color Model Under the guidance of Dr. K. R. Rao Submitted by: Prasanna Venkatesh Palani

More information

VLSI Implementation of Impulse Noise Suppression in Images

VLSI Implementation of Impulse Noise Suppression in Images VLSI Implementation of Impulse Noise Suppression in Images T. Satyanarayana 1, A. Ravi Chandra 2 1 PG Student, VRS & YRN College of Engg. & Tech.(affiliated to JNTUK), Chirala 2 Assistant Professor, Department

More information

Matlab Based Vehicle Number Plate Recognition

Matlab Based Vehicle Number Plate Recognition International Journal of Computational Intelligence Research ISSN 0973-1873 Volume 13, Number 9 (2017), pp. 2283-2288 Research India Publications http://www.ripublication.com Matlab Based Vehicle Number

More information

Morphological Image Processing Approach of Vehicle Detection for Real-Time Traffic Analysis

Morphological Image Processing Approach of Vehicle Detection for Real-Time Traffic Analysis Morphological Image Processing Approach of Vehicle Detection for Real-Time Traffic Analysis Prutha Y M *1, Department Of Computer Science and Engineering Affiliated to VTU Belgaum, Karnataka Rao Bahadur

More information

Libyan Licenses Plate Recognition Using Template Matching Method

Libyan Licenses Plate Recognition Using Template Matching Method Journal of Computer and Communications, 2016, 4, 62-71 Published Online May 2016 in SciRes. http://www.scirp.org/journal/jcc http://dx.doi.org/10.4236/jcc.2016.47009 Libyan Licenses Plate Recognition Using

More information

AUTOMATIC LICENSE PLATE RECOGNITION USING IMAGE PROCESSING AND NEURAL NETWORK

AUTOMATIC LICENSE PLATE RECOGNITION USING IMAGE PROCESSING AND NEURAL NETWORK DOI: 10.21917/ijivp.2018.0251 AUTOMATIC LICENSE PLATE RECOGNITION USING IMAGE PROCESSING AND NEURAL NETWORK P. Surekha, Pavan Gurudath, R. Prithvi and V.G. Ritesh Ananth Department of Electrical and Electronics

More information

Recognition Of Vehicle Number Plate Using MATLAB

Recognition Of Vehicle Number Plate Using MATLAB Recognition Of Vehicle Number Plate Using MATLAB Mr. Ami Kumar Parida 1, SH Mayuri 2,Pallabi Nayk 3,Nidhi Bharti 4 1Asst. Professor, Gandhi Institute Of Engineering and Technology, Gunupur 234Under Graduate,

More information

Video Enhancement Algorithms on System on Chip

Video Enhancement Algorithms on System on Chip International Journal of Scientific and Research Publications, Volume 2, Issue 4, April 2012 1 Video Enhancement Algorithms on System on Chip Dr.Ch. Ravikumar, Dr. S.K. Srivatsa Abstract- This paper presents

More information

Method for Real Time Text Extraction of Digital Manga Comic

Method for Real Time Text Extraction of Digital Manga Comic Method for Real Time Text Extraction of Digital Manga Comic Kohei Arai Information Science Department Saga University Saga, 840-0027, Japan Herman Tolle Software Engineering Department Brawijaya University

More information

中国科技论文在线. An Efficient Method of License Plate Location in Natural-scene Image. Haiqi Huang 1, Ming Gu 2,Hongyang Chao 2

中国科技论文在线. An Efficient Method of License Plate Location in Natural-scene Image.   Haiqi Huang 1, Ming Gu 2,Hongyang Chao 2 Fifth International Conference on Fuzzy Systems and Knowledge Discovery n Efficient ethod of License Plate Location in Natural-scene Image Haiqi Huang 1, ing Gu 2,Hongyang Chao 2 1 Department of Computer

More information

Fig.1: Sample license plate images[13] A typical LPR system is composed of several hardware and software components as illustrated in Figure 2

Fig.1: Sample license plate images[13] A typical LPR system is composed of several hardware and software components as illustrated in Figure 2 International Journals of Advanced Research in Computer Science and Software Engineering Research Article June 2017 License Plate Localization Method Based on VerticalEdge Detection Neha Rana MTech Scholar,

More information

Detection of License Plates of Vehicles

Detection of License Plates of Vehicles 13 W. K. I. L Wanniarachchi 1, D. U. J. Sonnadara 2 and M. K. Jayananda 2 1 Faculty of Science and Technology, Uva Wellassa University, Sri Lanka 2 Department of Physics, University of Colombo, Sri Lanka

More information

AUTOMATIC NUMBER PLATE DETECTION USING IMAGE PROCESSING AND PAYMENT AT TOLL PLAZA

AUTOMATIC NUMBER PLATE DETECTION USING IMAGE PROCESSING AND PAYMENT AT TOLL PLAZA Reg. No.:20151213 DOI:V4I3P13 AUTOMATIC NUMBER PLATE DETECTION USING IMAGE PROCESSING AND PAYMENT AT TOLL PLAZA Meet Shah, meet.rs@somaiya.edu Information Technology, KJSCE Mumbai, India. Akshaykumar Timbadia,

More information

AUTOMATIC LICENSE PLATE RECOGNITION USING PYTHON

AUTOMATIC LICENSE PLATE RECOGNITION USING PYTHON AUTOMATIC LICENSE PLATE RECOGNITION USING PYTHON Gopalkrishna Hegde Department of of MCA Gogte Institute of Technology Belagavi Abstract Automatic License Plate Recognition system is a real time embedded

More information

Malaysian Car Number Plate Detection System Based on Template Matching and Colour Information

Malaysian Car Number Plate Detection System Based on Template Matching and Colour Information Malaysian Car Number Plate Detection System Based on Template Matching and Colour Information Mohd Firdaus Zakaria, Shahrel A. Suandi Intelligent Biometric Group, School of Electrical and Electronics Engineering,

More information

THE PROPOSED IRAQI VEHICLE LICENSE PLATE RECOGNITION SYSTEM BY USING PREWITT EDGE DETECTION ALGORITHM

THE PROPOSED IRAQI VEHICLE LICENSE PLATE RECOGNITION SYSTEM BY USING PREWITT EDGE DETECTION ALGORITHM THE PROPOSED IRAQI VEHICLE LICENSE PLATE RECOGNITION SYSTEM BY USING PREWITT EDGE DETECTION ALGORITHM ELAF J. AL TAEE Computer Science, Kufa University, College of Education Kufa, Najaf, IRAQ E-mail: elafj.altaee@uokufa.edu.iq

More information

Open Source Digital Camera on Field Programmable Gate Arrays

Open Source Digital Camera on Field Programmable Gate Arrays Open Source Digital Camera on Field Programmable Gate Arrays Cristinel Ababei, Shaun Duerr, Joe Ebel, Russell Marineau, Milad Ghorbani Moghaddam, and Tanzania Sewell Department of Electrical and Computer

More information

Design and Implementation of an Intelligent Parking Management System Using Image Processing

Design and Implementation of an Intelligent Parking Management System Using Image Processing Design and Implementation of an Intelligent Parking Management System Using Image Processing Nithinya G, Suresh Kumar R Abstract This paper aims to present a smart system that automatically detects the

More information

Automatic Vehicle Number Plate Recognition for Vehicle Parking Management System

Automatic Vehicle Number Plate Recognition for Vehicle Parking Management System Automatic Vehicle Number Plate Recognition for Vehicle Parking Management System Ganesh R. Jadhav, Electronics and Telecommunication Engineering Department, SKN Sinhgad college of engineering, Pandharpur,

More information

Automated License Plate Recognition for Toll Booth Application

Automated License Plate Recognition for Toll Booth Application RESEARCH ARTICLE OPEN ACCESS Automated License Plate Recognition for Toll Booth Application Ketan S. Shevale (Department of Electronics and Telecommunication, SAOE, Pune University, Pune) ABSTRACT This

More information

VLSI Implementation of Image Processing Algorithms on FPGA

VLSI Implementation of Image Processing Algorithms on FPGA International Journal of Electronic and Electrical Engineering. ISSN 0974-2174 Volume 3, Number 3 (2010), pp. 139--145 International Research Publication House http://www.irphouse.com VLSI Implementation

More information

Modelling, Simulation and Computing Laboratory (msclab) School of Engineering and Information Technology, Universiti Malaysia Sabah, Malaysia

Modelling, Simulation and Computing Laboratory (msclab) School of Engineering and Information Technology, Universiti Malaysia Sabah, Malaysia 1.0 Introduction During the recent years, image processing based vehicle license plate localisation and recognition has been widely used in numerous areas:- a) Entrance admission b) Speed control Modelling,

More information

AUTOMATIC IRAQI CARS NUMBER PLATES EXTRACTION

AUTOMATIC IRAQI CARS NUMBER PLATES EXTRACTION AUTOMATIC IRAQI CARS NUMBER PLATES EXTRACTION Safaa S. Omran 1 Jumana A. Jarallah 2 1 Electrical Engineering Technical College / Middle Technical University 2 Electrical Engineering Technical College /

More information

Automatic License Plate Recognition System using Histogram Graph Algorithm

Automatic License Plate Recognition System using Histogram Graph Algorithm Automatic License Plate Recognition System using Histogram Graph Algorithm Divyang Goswami 1, M.Tech Electronics & Communication Engineering Department Marudhar Engineering College, Raisar Bikaner, Rajasthan,

More information

International Journal of Scientific & Engineering Research, Volume 8, Issue 4, April ISSN

International Journal of Scientific & Engineering Research, Volume 8, Issue 4, April ISSN International Journal of Scientific & Engineering Research, Volume 8, Issue 4, April-2017 324 FPGA Implementation of Reconfigurable Processor for Image Processing Ms. Payal S. Kadam, Prof. S.S.Belsare

More information

Real Time Hot Spot Detection Using FPGA

Real Time Hot Spot Detection Using FPGA Real Time Hot Spot Detection Using FPGA Sol Pedre, Andres Stoliar, and Patricia Borensztejn Departamento de Computación, Facultad de Ciencias Exactas y Naturales, Universidad de Buenos Aires {spedre,astoliar,patricia}@dc.uba.ar

More information

LABVIEW DESIGN FOR EDGE DETECTION USING LOG GABOR FILTER FOR DISEASE DETECTION

LABVIEW DESIGN FOR EDGE DETECTION USING LOG GABOR FILTER FOR DISEASE DETECTION INTERNATIONAL JOURNAL FOR RESEARCH & DEVELOPMENT IN TECHNOLOGY Volume-5,Issue-5 (May-16) ISSN (O) :- 2349-3585 LABVIEW DESIGN FOR EDGE DETECTION USING LOG GABOR FILTER FOR DISEASE DETECTION Vipul Kumbhalwar

More information

An Artificial Intelligence System for Monitoring and Security for Vehicular Plate Number in Lyceum of the Philippines University Laguna

An Artificial Intelligence System for Monitoring and Security for Vehicular Plate Number in Lyceum of the Philippines University Laguna An Artificial Intelligence System for Monitoring and Security for Vehicular Plate Number in Lyceum of the Philippines University Laguna Joseph T. Seranilla 1*, Angelino P. Flores 1, Veryll John Sumague

More information

Iraqi Car License Plate Recognition Using OCR

Iraqi Car License Plate Recognition Using OCR Iraqi Car License Plate Recognition Using OCR Safaa S. Omran Computer Engineering Techniques College of Electrical and Electronic Techniques Baghdad, Iraq omran_safaa@ymail.com Jumana A. Jarallah Computer

More information

IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 05, 2016 ISSN (online):

IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 05, 2016 ISSN (online): IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 05, 2016 ISSN (online): 2321-0613 Automatic Number Plate Recognition System for Vehicle Identification Using Improved Segmentation

More information

A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION

A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION Sinan Yalcin and Ilker Hamzaoglu Faculty of Engineering and Natural Sciences, Sabanci University, 34956, Tuzla,

More information

Image processing with the HERON-FPGA Family

Image processing with the HERON-FPGA Family HUNT ENGINEERING Chestnut Court, Burton Row, Brent Knoll, Somerset, TA9 4BP, UK Tel: (+44) (0)1278 760188, Fax: (+44) (0)1278 760199, Email: sales@hunteng.co.uk http://www.hunteng.co.uk http://www.hunt-dsp.com

More information

Parallel Architecture for Optical Flow Detection Based on FPGA

Parallel Architecture for Optical Flow Detection Based on FPGA Parallel Architecture for Optical Flow Detection Based on FPGA Mr. Abraham C. G 1, Amala Ann Augustine Assistant professor, Department of ECE, SJCET, Palai, Kerala, India 1 M.Tech Student, Department of

More information

[Mohindra, 2(7): July, 2013] ISSN: Impact Factor: 1.852

[Mohindra, 2(7): July, 2013] ISSN: Impact Factor: 1.852 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY License Plate Recognition (LPR) system for Indian Vehicle License Plate Extraction and Character Segmentation Surabhi Mohindra

More information

Automated Number Plate Verification System based on Video Analytics

Automated Number Plate Verification System based on Video Analytics Automated Number Plate Verification System based on Video Analytics Kumar Abhishek Gaurav 1, Viveka 2, Dr. Rajesh T.M 3, Dr. Shaila S.G 4 1,2 M. Tech, Dept. of Computer Science and Engineering, 3 Assistant

More information

Document Processing for Automatic Color form Dropout

Document Processing for Automatic Color form Dropout Rochester Institute of Technology RIT Scholar Works Articles 12-7-2001 Document Processing for Automatic Color form Dropout Andreas E. Savakis Rochester Institute of Technology Christopher R. Brown Microwave

More information

IoT Based Automatic Vehicle License Plate Recognition System

IoT Based Automatic Vehicle License Plate Recognition System IoT Based Automatic Vehicle License Plate Recognition System Prof.R.M.Sahu 1, Namrata B.Gaikwad 2, Chandrakant B.Sandage 3, Vikram S.Shinde 4 1 Professor, Electronics Engineering, PDEACOEM, Maharashtra,

More information

The total manufacturing cost is estimated to be around INR. 12

The total manufacturing cost is estimated to be around INR.   12 Intelligent Integrated Home Security System Using Raspberry Pi Pallavi Mitra Department of Electronics and Communication Engineering,National Institute of Technology,Durgapur E-mail: pallavi08091992@gmail.com

More information

8.2 IMAGE PROCESSING VERSUS IMAGE ANALYSIS Image processing: The collection of routines and

8.2 IMAGE PROCESSING VERSUS IMAGE ANALYSIS Image processing: The collection of routines and 8.1 INTRODUCTION In this chapter, we will study and discuss some fundamental techniques for image processing and image analysis, with a few examples of routines developed for certain purposes. 8.2 IMAGE

More information

Segmentation Plate and Number Vehicle using Integral Projection

Segmentation Plate and Number Vehicle using Integral Projection Segmentation Plate and Number Vehicle using Integral Projection Mochamad Mobed Bachtiar 1, Sigit Wasista 2, Mukhammad Syarifudin Hidayatulloh 3 1,2,3 Program Studi D4 Teknik Komputer Departemen Informatika

More information

Automated Parking Management System using Image Processing Techniques

Automated Parking Management System using Image Processing Techniques Automated Parking Management System using Image Processing Techniques Vaidehi P. De Dept. of Electrical and Computer Engineering Caledonian College of Engineering Muscat, Oman D. Ragavesh Dept. of Electrical

More information

International Journal for Research in Applied Science & Engineering Technology (IJRASET) RAAR Processor: The Digital Image Processor

International Journal for Research in Applied Science & Engineering Technology (IJRASET) RAAR Processor: The Digital Image Processor RAAR Processor: The Digital Image Processor Raghumanohar Adusumilli 1, Mahesh.B.Neelagar 2 1 VLSI Design and Embedded Systems, Visvesvaraya Technological University, Belagavi Abstract Image processing

More information

A Review of Optical Character Recognition System for Recognition of Printed Text

A Review of Optical Character Recognition System for Recognition of Printed Text IOSR Journal of Computer Engineering (IOSR-JCE) e-issn: 2278-0661,p-ISSN: 2278-8727, Volume 17, Issue 3, Ver. II (May Jun. 2015), PP 28-33 www.iosrjournals.org A Review of Optical Character Recognition

More information

PLazeR. a planar laser rangefinder. Robert Ying (ry2242) Derek Xingzhou He (xh2187) Peiqian Li (pl2521) Minh Trang Nguyen (mnn2108)

PLazeR. a planar laser rangefinder. Robert Ying (ry2242) Derek Xingzhou He (xh2187) Peiqian Li (pl2521) Minh Trang Nguyen (mnn2108) PLazeR a planar laser rangefinder Robert Ying (ry2242) Derek Xingzhou He (xh2187) Peiqian Li (pl2521) Minh Trang Nguyen (mnn2108) Overview & Motivation Detecting the distance between a sensor and objects

More information

An FPGA Based Architecture for Moving Target Indication (MTI) Processing Using IIR Filters

An FPGA Based Architecture for Moving Target Indication (MTI) Processing Using IIR Filters An FPGA Based Architecture for Moving Target Indication (MTI) Processing Using IIR Filters Ali Arshad, Fakhar Ahsan, Zulfiqar Ali, Umair Razzaq, and Sohaib Sajid Abstract Design and implementation of an

More information

Extraction and Recognition of Text From Digital English Comic Image Using Median Filter

Extraction and Recognition of Text From Digital English Comic Image Using Median Filter Extraction and Recognition of Text From Digital English Comic Image Using Median Filter S.Ranjini 1 Research Scholar,Department of Information technology Bharathiar University Coimbatore,India ranjinisengottaiyan@gmail.com

More information

Automated Number Plate Recognition System Using Machine learning algorithms (Kstar)

Automated Number Plate Recognition System Using Machine learning algorithms (Kstar) Automated Number Plate Recognition System Using Machine learning algorithms (Kstar) Er. Dinesh Bhardwaj 1, Er. Shruti Gujral 2 1, 2 Computer Science and Engineering Department, Chandigarh University, Mohali,

More information

A High Definition Motion JPEG Encoder Based on Epuma Platform

A High Definition Motion JPEG Encoder Based on Epuma Platform Available online at www.sciencedirect.com Procedia Engineering 29 (2012) 2371 2375 2012 International Workshop on Information and Electronics Engineering (IWIEE) A High Definition Motion JPEG Encoder Based

More information

A Method of Multi-License Plate Location in Road Bayonet Image

A Method of Multi-License Plate Location in Road Bayonet Image A Method of Multi-License Plate Location in Road Bayonet Image Ying Qian The lab of Graphics and Multimedia Chongqing University of Posts and Telecommunications Chongqing, China Zhi Li The lab of Graphics

More information

Automatic Car License Plate Detection System for Odd and Even Series

Automatic Car License Plate Detection System for Odd and Even Series Automatic Car License Plate Detection System for Odd and Even Series Sapna Gaur Research Scholar Hindustan Institute of Technology Agra APJ Abdul Kalam Technical University, Lucknow Sweta Singh Asst. Professor

More information

An Improved Method of Computing Scale-Orientation Signatures

An Improved Method of Computing Scale-Orientation Signatures An Improved Method of Computing Scale-Orientation Signatures Chris Rose * and Chris Taylor Division of Imaging Science and Biomedical Engineering, University of Manchester, M13 9PT, UK Abstract: Scale-Orientation

More information

Implementation of Edge Detection Digital Image Algorithm on a FPGA

Implementation of Edge Detection Digital Image Algorithm on a FPGA Implementation of Edge Detection Digital Image Algorithm on a FPGA Issam Bouganssa, Mohamed Sbihi and Mounia Zaim Laboratory of System Analysis, Information Processing and Integrated Management, High School

More information

Automated Car Number Plate Detection System to detect far number plates Jatinder Singh 1 Vinay Bhardwaj 2

Automated Car Number Plate Detection System to detect far number plates Jatinder Singh 1 Vinay Bhardwaj 2 Automated Car Number Plate Detection System to detect far number plates Jatinder Singh 1 Vinay Bhardwaj 2 Mtech Research Scholar 1 Assistant Professor 2 Department Of Computer Science &Enginerring SGGSWU,FatehgarhSahib,Punjab,India

More information

License Plate Recognition Using Convolutional Neural Network

License Plate Recognition Using Convolutional Neural Network IOSR Journal of Computer Engineering (IOSR-JCE) e-issn: 2278-0661,p-ISSN: 2278-8727 PP 28-33 www.iosrjournals.org License Plate Recognition Using Convolutional Neural Network Shrutika Saunshi 1, Vishal

More information

COMPARATIVE PERFORMANCE ANALYSIS OF HAND GESTURE RECOGNITION TECHNIQUES

COMPARATIVE PERFORMANCE ANALYSIS OF HAND GESTURE RECOGNITION TECHNIQUES International Journal of Advanced Research in Engineering and Technology (IJARET) Volume 9, Issue 3, May - June 2018, pp. 177 185, Article ID: IJARET_09_03_023 Available online at http://www.iaeme.com/ijaret/issues.asp?jtype=ijaret&vtype=9&itype=3

More information

Real Time ALPR for Vehicle Identification Using Neural Network

Real Time ALPR for Vehicle Identification Using Neural Network _ Real Time ALPR for Vehicle Identification Using Neural Network Anushree Deshmukh M.E Student Terna Engineering College,Navi Mumbai Email: anushree_deshmukh@yahoo.co.in Abstract With the rapid growth

More information

Automatic Vehicles Detection from High Resolution Satellite Imagery Using Morphological Neural Networks

Automatic Vehicles Detection from High Resolution Satellite Imagery Using Morphological Neural Networks Automatic Vehicles Detection from High Resolution Satellite Imagery Using Morphological Neural Networks HONG ZHENG Research Center for Intelligent Image Processing and Analysis School of Electronic Information

More information

FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA

FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA Shruti Dixit 1, Praveen Kumar Pandey 2 1 Suresh Gyan Vihar University, Mahaljagtapura, Jaipur, Rajasthan, India 2 Suresh Gyan Vihar University,

More information

Number Plate recognition System

Number Plate recognition System Number Plate recognition System Khomotso Jeffrey Tsiri Thesis presented in fulfilment of the requirements for the degree of Bsc(Hons) Computer Science at the University of the Western Cape Supervisor:

More information

A Training Based Approach for Vehicle Plate Recognition (VPR)

A Training Based Approach for Vehicle Plate Recognition (VPR) A Training Based Approach for Vehicle Plate Recognition (VPR) Laveena Agarwal 1, Vinish Kumar 2, Dwaipayan Dey 3 1 Department of Computer Science & Engineering, Sanskar College of Engineering &Technology,

More information

Text Extraction from Images

Text Extraction from Images Text Extraction from Images Paraag Agrawal #1, Rohit Varma *2 # Information Technology, University of Pune, India 1 paraagagrawal@hotmail.com * Information Technology, University of Pune, India 2 catchrohitvarma@gmail.com

More information

An Efficient Approach for Automatic Number Plate Recognition System under Image Processing

An Efficient Approach for Automatic Number Plate Recognition System under Image Processing Volume 5, No. 6, July-August 2014 International Journal of Advanced Research in Computer Science RESEARCH PAPER Available Online at www.ijarcs.info ISSN No. 0976-5697 An Efficient Approach for Automatic

More information

A Study on Single Camera Based ANPR System for Improvement of Vehicle Number Plate Recognition on Multi-lane Roads

A Study on Single Camera Based ANPR System for Improvement of Vehicle Number Plate Recognition on Multi-lane Roads Invention Journal of Research Technology in Engineering & Management (IJRTEM) ISSN: 2455-3689 www.ijrtem.com Volume 2 Issue 1 ǁ January. 2018 ǁ PP 11-16 A Study on Single Camera Based ANPR System for Improvement

More information

Available online at ScienceDirect. Ehsan Golkar*, Anton Satria Prabuwono

Available online at   ScienceDirect. Ehsan Golkar*, Anton Satria Prabuwono Available online at www.sciencedirect.com ScienceDirect Procedia Technology 11 ( 2013 ) 771 777 The 4th International Conference on Electrical Engineering and Informatics (ICEEI 2013) Vision Based Length

More information

Infrared Night Vision Based Pedestrian Detection System

Infrared Night Vision Based Pedestrian Detection System Infrared Night Vision Based Pedestrian Detection System INTRODUCTION Chia-Yuan Ho, Chiung-Yao Fang, 2007 Department of Computer Science & Information Engineering National Taiwan Normal University Traffic

More information

International Conference on Computer, Communication, Control and Information Technology (C 3 IT 2009) Paper Code: DSIP-024

International Conference on Computer, Communication, Control and Information Technology (C 3 IT 2009) Paper Code: DSIP-024 Paper Code: DSIP-024 Oral 270 A NOVEL SCHEME FOR BINARIZATION OF VEHICLE IMAGES USING HIERARCHICAL HISTOGRAM EQUALIZATION TECHNIQUE Satadal Saha 1, Subhadip Basu 2 *, Mita Nasipuri 2, Dipak Kumar Basu

More information

Detection and Verification of Missing Components in SMD using AOI Techniques

Detection and Verification of Missing Components in SMD using AOI Techniques , pp.13-22 http://dx.doi.org/10.14257/ijcg.2016.7.2.02 Detection and Verification of Missing Components in SMD using AOI Techniques Sharat Chandra Bhardwaj Graphic Era University, India bhardwaj.sharat@gmail.com

More information

CHARACTERS RECONGNIZATION OF AUTOMOBILE LICENSE PLATES ON THE DIGITAL IMAGE Rajasekhar Junjunuri* 1, Sandeep Kotta 1

CHARACTERS RECONGNIZATION OF AUTOMOBILE LICENSE PLATES ON THE DIGITAL IMAGE Rajasekhar Junjunuri* 1, Sandeep Kotta 1 ISSN 2277-2685 IJESR/May 2015/ Vol-5/Issue-5/302-309 Rajasekhar Junjunuri et. al./ International Journal of Engineering & Science Research CHARACTERS RECONGNIZATION OF AUTOMOBILE LICENSE PLATES ON THE

More information