Inkjet Filling of TSVs with Silver Nanoparticle Ink. Behnam Khorramdel, Matti Mäntysalo Tampere University of Technology ESTC 2014 Finland, Helsinki

Size: px
Start display at page:

Download "Inkjet Filling of TSVs with Silver Nanoparticle Ink. Behnam Khorramdel, Matti Mäntysalo Tampere University of Technology ESTC 2014 Finland, Helsinki"

Transcription

1 Inkjet Filling of TSVs with Silver Nanoparticle Ink Behnam Khorramdel, Matti Mäntysalo Tampere University of Technology ESTC 2014 Finland, Helsinki

2 Outline Motivation for this study Inkjet in MEMS fabrication Materials and equipment Ink characteristics and cartridge setting Via and printing pattern dimensions Metal via filling Characterization of the partially filled vias Conclusion and outlook

3 Motivation for this study TSVs: have been used to make the interconnections through the stacked wafers and devices in 3D packaging of microelectronics devices or MEMS devices Currently, CVD or electroless deposition are used to fill the vias > time consuming, masking, waste,... Inkjet as an additive digital fabrication method could make the process much faster, agile and cost efficient Aim of the study: Understanding the effect of delay time and substrate temp on filling ratio and also quality and uniformity of the wall coverage

4 Inkjet in MEMS fabrication Additive deposition in processes for MEMS Vertical interconnections Functional material in hermetic cavity of the MEMS (currently by subtractive method) Wafer bonding New silicon and metallic nanoparticle inks should be developed Also solvents and dispersing coatings

5 Materials and equipment vias with diameter of 80 µm and depth of around 115 um fabricated by DRIE Silver nanoparticle ink from Harima Dimatix inkjet printer (DMP-2800) 10 pl substrate: silicon wafer with thickness of 675 um (with no treatment)

6 Ink characteristics and cartridge setting Particle size 12 nm Cartridge setting Metal contents 65 % Cartridge temperature (in C) Room temperature Characteristi cs of ink before annealing Solvent diluent Viscosity Specific gravity Tetradecane 9 mpa.s 1.8~2.2 Meniscus Vacuum (inches H 2 O) Jets to Use Characteristi cs after sintering Sintering conditions Specific resistance 220ºC (60min) 3 µω cm Thickness 4µm Thickness shrinkage 83% Cartridge Print Height (mm) Overall Waveform Controls Width (µs) Maximum Jetting 9.0 Frequency (khz)

7 Via and pattern dimensions

8 Metal via filling 30 s > one more layer except 4 Higher temp > 1 or 2 layers more Less overflooding in (d) with delay No overflooding in (e) with higher temperature Case 6/(f) (7 layers): caused too much overflooding At some parts connective path between the vias

9 CHARACTERIZATION OF PARTIALLY FILLED VIAS

10 Cross-section view (Optical) a) 40ºC, delay: 00 sec, 52 droplets b) 40ºC, delay: 30 sec, 65 droplets c) 50ºC, delay: 00 sec, 78 droplets d) 50ºC, delay: 30 sec, 78 droplets e) 60ºC, delay: 00 sec, 78 droplets f) 60ºC, delay: 30 sec, 91 droplets

11 Cross-section view (Optical) Case Wall thickness (middle) Wall thickness (top) 1 ~3 µm ~1 µm 2 ~5 µm ~2 µm 3 ~6 µm ~3 µm 4 ~6.5 µm ~3 µm 5 ~6.5 µm ~4 µm 6 ~5 µm ~6 µm In 1 and 2 low temp > concentration at the bottom > less uniform coverage 3, 4 and 5 > 78 droplets > similar coverage and more uniform Thickness was increased by injecting more droplets but there was no huge difference 30 sec delay in 4 and increasing the temp in 5 > did not have much effect on wall coverage + was not enough to add one more layer > 6 layers could be the optimum amount of layers Case 5 > no overflooding > more convenient Case 6 > shifting the ink towards top of the via > high amount of droplets > nonuniform coverage

12 Cross-section view (SEM) a) 40ºC, delay: 00 sec, 52 droplets b) 40ºC, delay: 30 sec, 65 droplets c) 50ºC, delay: 00 sec, 78 droplets d) 50ºC, delay: 30 sec, 78 droplets e) 60ºC, delay: 00 sec, 78 droplets f) 60ºC, delay: 30 sec, 91 droplets Comments: SEM micrographs show the quality of the coverage on the wall with no specific crack or void White area inside the vias is because of the charging with the electron beam The via in (a) is not precisely grinded to 80µm

13 Conclusion and outlook It was concluded that the delay between the layers and substrate temperature both affect the number of the droplets, but temperature could be more effective Printing on 60 ºC with no delay was more optimal No overflooding was observed The thickness was the maximum Coverage of the ink on top and middle of the vias was uniform Quality of the print was acceptable in all cases without specific voids or cracks which is needed for the connectivity of the vias In future investigations: Still filling of the vias with smaller diameters using the printing technologies with much smaller droplets

14 This work is supported by ENIAC-JU Project Prominent grant No and Tekes grant No /12. THANK YOU FOR YOUR ATTENTION!

Inkjet Printing of Ag Nanoparticles using Dimatix Inkjet Printer, No 1

Inkjet Printing of Ag Nanoparticles using Dimatix Inkjet Printer, No 1 University of Pennsylvania ScholarlyCommons Protocols and Reports Browse by Type 1-13-2017 using Dimatix Inkjet Printer, No 1 Amal Abbas amalabb@seas.upenn.edu Inayat Bajwa inabajwa@seas.upenn.edu Follow

More information

Printed and Hybrid Integration

Printed and Hybrid Integration Printed and Hybrid Integration Neil Chilton PhD Technical Director, Printed Electronics Limited, UK Neil.Chilton@PrintedElectronics.com Printed Electronics Limited (PEL) General Overview PEL was founded

More information

Effect of Corona Treatment on Spreading Behavior of UV Ink over Inkjet Printed Silver Nano-Particle Layer

Effect of Corona Treatment on Spreading Behavior of UV Ink over Inkjet Printed Silver Nano-Particle Layer Effect of Corona Treatment on Spreading Behavior of UV Ink over Inkjet Printed Silver Nano-Particle Layer Khushbeen Department of Printing Technology GJUS&T, Hisar, Haryana, India Email- khushveen12@gmail.com

More information

PERFORMANCE OF PRINTABLE ANTENNAS WITH DIFFERENT CONDUCTOR THICKNESS

PERFORMANCE OF PRINTABLE ANTENNAS WITH DIFFERENT CONDUCTOR THICKNESS Progress In Electromagnetics Research Letters, Vol. 13, 59 65, 2010 PERFORMANCE OF PRINTABLE ANTENNAS WITH DIFFERENT CONDUCTOR THICKNESS A. K. Sowpati Department of Electronics & Computer Engineering Indian

More information

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator Figure 4 Advantage of having smaller focal spot on CCD with super-fine pixels: Larger focal point compromises the sensitivity, spatial resolution, and accuracy. Figure 1 Typical microlens array for Shack-Hartmann

More information

Research Article Experimental Study on Inkjet-Printed Passive UHF RFID Tags on Versatile Paper-Based Substrates

Research Article Experimental Study on Inkjet-Printed Passive UHF RFID Tags on Versatile Paper-Based Substrates Antennas and Propagation Volume 2016, Article ID 9265159, 8 pages http://dx.doi.org/10.1155/2016/9265159 Research Article Experimental Study on Inkjet-Printed Passive UHF RFID Tags on Versatile Paper-Based

More information

University of Texas at Austin, Austin, TX ABSTRACT

University of Texas at Austin, Austin, TX ABSTRACT Phase Shifter using Carbon Nanotube Thin-Film Transistor for Flexible Phased-Array Antenna Daniel Pham 1, Harish Subbaraman 2, Maggie Yihong Chen 3, Xiaochuan Xu 1, and Ray T. Chen 1 1 Microelectronics

More information

Aerosol Jet technology opportunities

Aerosol Jet technology opportunities Aerosol Jet technology opportunities Jiří Navrátil 1. Introduction history and formation 2. Aerosol Jet system 2.1 System components 2.2 Inks and substrates 3. Application of Aerosol Jet technology 4.

More information

Inkjet-printed silver nanoparticle electrodes on GaN-based micro-structured light-emitting diodes

Inkjet-printed silver nanoparticle electrodes on GaN-based micro-structured light-emitting diodes Inkjet-printed silver nanoparticle electrodes on GaN-based micro-structured light-emitting diodes Author Wu, Min, Gong, Zheng, Massoubre, David, Zhang, Yanfeng, Richardson, Elliot, Gu, Erdan, D. Dawson,

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

3D and Aerosol Printed Conductor Dielectric Full- 3D RF Metamaterials

3D and Aerosol Printed Conductor Dielectric Full- 3D RF Metamaterials 3D and Aerosol Printed Conductor Dielectric Full- 3D RF Metamaterials June 22, 2017 Jimmy Hester, Evan Nguyen, Jesse Tice, and Vesna Radisic Approved for Public Release: NG17-1180, 6/2/17 Outline Introduction

More information

MID Manufacturing Process.

MID Manufacturing Process. 3D Aerosol Jet Printing An Emerging MID Manufacturing Process. Dr. Martin Hedges Neotech Services MTP, Nuremberg, Germany info@neotechservices.com Aerosol Jet Printing Aerosol Jet Process Overview Current

More information

AEROSOL JET PRINTING OF CONDUCTIVE EPOXY FOR 3D PACKAGING

AEROSOL JET PRINTING OF CONDUCTIVE EPOXY FOR 3D PACKAGING AEROSOL JET PRINTING OF CONDUCTIVE EPOXY FOR 3D PACKAGING Michael J. Renn, Ph.D., and Kurt K. Christenson, Ph.D. Optomec, Inc. St. Paul, MN, USA mrenn@optomec.com and kchristenson@optomec.com Donald Giroux

More information

Fully printable, strain-engineered electronic wrap for

Fully printable, strain-engineered electronic wrap for Supplementary Information Fully printable, strain-engineered electronic wrap for customizable soft electronics Junghwan Byun, Byeongmoon Lee, Eunho Oh, Hyunjong Kim, Sangwoo Km, Seunghwan Lee, and Yongtaek

More information

True Three-Dimensional Interconnections

True Three-Dimensional Interconnections True Three-Dimensional Interconnections Satoshi Yamamoto, 1 Hiroyuki Wakioka, 1 Osamu Nukaga, 1 Takanao Suzuki, 2 and Tatsuo Suemasu 1 As one of the next-generation through-hole interconnection (THI) technologies,

More information

SU-8 Post Development Bake (Hard Bake) Study

SU-8 Post Development Bake (Hard Bake) Study University of Pennsylvania ScholarlyCommons Protocols and Reports Browse by Type 10-16-2017 Ram Surya Gona University of Pennsylvania, ramgona@seas.upenn.edu Eric D. Johnston Singh Center for Nanotechnology,

More information

Inkjet Printing of Biomedical Adhesives

Inkjet Printing of Biomedical Adhesives Mater. Res. Soc. Symp. Proc. Vol. 95 27 Materials Research Society 95-D12-5 Inkjet Printing of Biomedical Adhesives Anand Doraiswamy 1, Jan Sumerel 2, Jonathan Wilker 3, and Roger J Narayan 1 1 University

More information

Inkjet resist inks. Krishna Balantrapu

Inkjet resist inks. Krishna Balantrapu Inkjet resist inks Krishna Balantrapu OUTLINE Conventional Vs. Inkjet-Cost Savings Inkjet Material Design Inkjet Equipment-Lunaris Future work 2 DOW-R&D DRIVERS FOR NEW PRODUCT DEVELOPMENT Technology Need

More information

Flexible glass substrates for roll-to-roll manufacturing

Flexible glass substrates for roll-to-roll manufacturing Science & Technology Flexible glass substrates for roll-to-roll manufacturing Corning - S. Garner, G. Merz, J. Tosch, C. Chang, D. Marshall, X. Li, J. Matusick, J. Lin, C. Kuo, S. Lewis, C. Kang ITRI -

More information

A large-area wireless power transmission sheet using printed organic. transistors and plastic MEMS switches

A large-area wireless power transmission sheet using printed organic. transistors and plastic MEMS switches Supplementary Information A large-area wireless power transmission sheet using printed organic transistors and plastic MEMS switches Tsuyoshi Sekitani 1, Makoto Takamiya 2, Yoshiaki Noguchi 1, Shintaro

More information

Ricoh Industrial Ink Jet Technology

Ricoh Industrial Ink Jet Technology Ricoh Industrial Ink Jet Technology Ink Jet Business Division EMEA IMI Barcelona, November 2014 Presentation Outline HISTORY & ORGANIZATION SOLUTIONS TECHNOLOGY Who is Ricoh? Founded in 1936 108,000+ Employees

More information

MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications

MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications Part I: RF Applications Introductions and Motivations What are RF MEMS? Example Devices RFIC RFIC consists of Active components

More information

Inkjet Printing RF Bandpass Filters on Liquid Crystal Polymer Substrates

Inkjet Printing RF Bandpass Filters on Liquid Crystal Polymer Substrates Inkjet Printing RF Bandpass Filters on Liquid Crystal Polymer Substrates Hsuan-ling Kao a*, Chia-Ming Kuo a, Cheng-Lin Cho b, Li-Chun Chang c a Dept. of Electronic Engineering, Chang Gung University, Tao-Yuan,

More information

Research Article Efficient Design of Flexible and Low Cost Paper-Based Inkjet-Printed Antenna

Research Article Efficient Design of Flexible and Low Cost Paper-Based Inkjet-Printed Antenna Research Article Efficient Design of Flexible and Low Cost Paper-Based Inkjet-Printed Antenna A. M. Mansour, 1,2 N. Shehata, 2,3,4 B. M. Hamza, 1,2 andm.r.m.rizk 1,2 1 Department of Electrical Engineering,

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Brief Introduction of Sigurd IC package Assembly

Brief Introduction of Sigurd IC package Assembly Brief Introduction of Sigurd IC package Assembly Content Package Development Trend Product Brief Sawing type QFN Representative MEMS Product LGA Light Sensor Proximity Sensor High Yield Capability Low

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Micro-PackS, Technology Platform. Security Characterization Lab Opening

Micro-PackS, Technology Platform. Security Characterization Lab Opening September, 30 th 2008 Micro-PackS, Technology Platform Security Characterization Lab Opening Members : Micro-PackS in SCS cluster From Silicium to innovative & commucating device R&D structure, gathering

More information

Inductors In Silicon Based on SU-8 Enhanced Silicon Molding Technique for Portable Electronics

Inductors In Silicon Based on SU-8 Enhanced Silicon Molding Technique for Portable Electronics Biophotonics & Microsystems Lab Inductors In Silicon Based on SU-8 Enhanced Silicon Molding Technique for Portable Electronics Mingliang Wang 1*, Khai D. T. Ngo 2, Huikai Xie 1 1 BML, University of Florida

More information

Chapter 3 Fabrication

Chapter 3 Fabrication Chapter 3 Fabrication The total structure of MO pick-up contains four parts: 1. A sub-micro aperture underneath the SIL The sub-micro aperture is used to limit the final spot size from 300nm to 600nm for

More information

Micro-nanosystems for electrical metrology and precision instrumentation

Micro-nanosystems for electrical metrology and precision instrumentation Micro-nanosystems for electrical metrology and precision instrumentation A. Bounouh 1, F. Blard 1,2, H. Camon 2, D. Bélières 1, F. Ziadé 1 1 LNE 29 avenue Roger Hennequin, 78197 Trappes, France, alexandre.bounouh@lne.fr

More information

Underground M3 progress meeting 16 th month --- Strain sensors development IMM Bologna

Underground M3 progress meeting 16 th month --- Strain sensors development IMM Bologna Underground M3 progress meeting 16 th month --- Strain sensors development IMM Bologna Matteo Ferri, Alberto Roncaglia Institute of Microelectronics and Microsystems (IMM) Bologna Unit OUTLINE MEMS Action

More information

Electronic Supplementary Information:

Electronic Supplementary Information: Electronic Supplementary Material (ESI) for Journal of Materials Chemistry A. This journal is The Royal Society of Chemistry 2017 Electronic Supplementary Information: Fabrication and optical characterization

More information

Optical Waveguides fabricated by combination of ink-jet and flexographic printing

Optical Waveguides fabricated by combination of ink-jet and flexographic printing Optical Waveguides fabricated by combination of ink-jet and flexographic printing P. Bollgrün 1,3, T. Wolfer 2, U. Gleissner 3 D. Mager 1, C. Megnin 3 T. Hanemann 3,4, L. Overmeyer 2, J. Korvink 1 1) Institute

More information

3D Integration of MEMS and CMOS via Cu-Cu Bonding with Simultaneous Formation of Electrical, Mechanical and Hermetic Bonds

3D Integration of MEMS and CMOS via Cu-Cu Bonding with Simultaneous Formation of Electrical, Mechanical and Hermetic Bonds 3D Integration of MEMS and CMOS via Cu-Cu Bonding with Simultaneous Formation of Electrical, Mechanical and Hermetic Bonds R. Nadipalli 1, J. Fan 1, K. H. Li 2,3, K. W. Wee 3, H. Yu 1, and C. S. Tan 1

More information

Properties. -Print & Printable Electronics. *Dr. Kazuhiro Murata, **Dr. Kazuyuki Masuda

Properties. -Print & Printable Electronics. *Dr. Kazuhiro Murata, **Dr. Kazuyuki Masuda -Print & Printable Electronics esuper Inkjet Printer Technology and Its Properties *Dr. Kazuhiro Murata, **Dr. Kazuyuki Masuda *National Institute of Advanced Industrial Science and Technology, ** SIJ

More information

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures Chenming Hu and Je Min Park Univ. of California, Berkeley -1- Outline Introduction Background and Motivation MOSFETs with Vacuum-Spacer

More information

Printing Processes and their Potential for RFID Printing

Printing Processes and their Potential for RFID Printing Printing Processes and their Potential for RFID Printing Anne Blayo and Bernard Pineaux, EFPG 1 - Printing processes - A.Blayo and B. Pineaux - soc -EUSAI - 12th October 2005, Grenoble Outline General

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Hiding In Plain Sight. How Ultrasonics Can Help You Find the Smallest Bonded Wafer and Device Defects. A Sonix White Paper

Hiding In Plain Sight. How Ultrasonics Can Help You Find the Smallest Bonded Wafer and Device Defects. A Sonix White Paper Hiding In Plain Sight How Ultrasonics Can Help You Find the Smallest Bonded Wafer and Device Defects A Sonix White Paper If You Can See It, You Can Solve It: Understanding Ultrasonic Inspection of Bonded

More information

Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates

Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates Science & Technology IBM Research Tokyo Yasumitsu Orii, PhD Senju Metal Industry Co.,TW Deputy General Manager Lewis Huang

More information

A Low-cost Through Via Interconnection for ISM WLP

A Low-cost Through Via Interconnection for ISM WLP A Low-cost Through Via Interconnection for ISM WLP Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim, Seung-Wook Park, Young-Do Kweon, Sung Yi To cite this version: Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim,

More information

Introduction to Microdevices and Microsystems

Introduction to Microdevices and Microsystems PHYS 534 (Fall 2008) Module on Microsystems & Microfabrication Lecture 1 Introduction to Microdevices and Microsystems Srikar Vengallatore, McGill University 1 Introduction to Microsystems Outline of Lecture

More information

Säntis 300 Full wafer cathodoluminescence control up to 300 mm diameter

Säntis 300 Full wafer cathodoluminescence control up to 300 mm diameter Säntis 300 Full wafer cathodoluminescence control up to 300 mm diameter Overview The Säntis 300 system has been designed for fully automated control of 150, 200 and 300 mm wafers. Attolight s Quantitative

More information

Simulation and test of 3D silicon radiation detectors

Simulation and test of 3D silicon radiation detectors Simulation and test of 3D silicon radiation detectors C.Fleta 1, D. Pennicard 1, R. Bates 1, C. Parkes 1, G. Pellegrini 2, M. Lozano 2, V. Wright 3, M. Boscardin 4, G.-F. Dalla Betta 4, C. Piemonte 4,

More information

Characterization of Silicon-based Ultrasonic Nozzles

Characterization of Silicon-based Ultrasonic Nozzles Tamkang Journal of Science and Engineering, Vol. 7, No. 2, pp. 123 127 (24) 123 Characterization of licon-based Ultrasonic Nozzles Y. L. Song 1,2 *, S. C. Tsai 1,3, Y. F. Chou 4, W. J. Chen 1, T. K. Tseng

More information

Making a Material Difference

Making a Material Difference Making a Material Difference Founded as a QinetiQ corporate investment in January 2002 Spun out in 2007 Locations: Rochester, NYS, USA (HQ and Production) Farnborough and Malvern, UK (R&D, Production and

More information

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE.

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE. Copyright 2008 Year IEEE. Reprinted from IEEE ECTC 2008. 27-30 May 2008, Florida USA.. This material is posted here with permission of the IEEE. Such permission of the IEEE does not in any way imply IEEE

More information

Optical Characterization and Defect Inspection for 3D Stacked IC Technology

Optical Characterization and Defect Inspection for 3D Stacked IC Technology Minapad 2014, May 21 22th, Grenoble; France Optical Characterization and Defect Inspection for 3D Stacked IC Technology J.Ph.Piel, G.Fresquet, S.Perrot, Y.Randle, D.Lebellego, S.Petitgrand, G.Ribette FOGALE

More information

Supplementary information for

Supplementary information for Supplementary information for A fast and low power microelectromechanical system based nonvolatile memory device Sang Wook Lee, Seung Joo Park, Eleanor E. B. Campbell & Yung Woo Park The supplementary

More information

Embedding Inkjet-printed Antennas into Plywood Structures for Identification and Sensing

Embedding Inkjet-printed Antennas into Plywood Structures for Identification and Sensing IEEE 2012 International Conference on RFID -Technologies and Applications (RFID - TA) Embedding Inkjet-printed Antennas into Plywood Structures for Identification and Sensing J. Virkki, J. Virtanen, L.

More information

AN5046 Application note

AN5046 Application note Application note Printed circuit board assembly recommendations for STMicroelectronics PowerFLAT packages Introduction The PowerFLAT package (5x6) was created to allow a larger die to fit in a standard

More information

ENGAT00000 to ENGAT00010

ENGAT00000 to ENGAT00010 Wideband Fixed Attenuator Family, DIE, DC to 50 GHz ENGAT00000 / 00001 / 00002 / 00003 / 00004 / 00005 / 00006 / 00007 / 00008 / 00009 / 00010 Typical Applications ENGAT00000 to ENGAT00010 Features Space

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Epson Large Format Printing Technology 1/4/10. Course Contents

Epson Large Format Printing Technology 1/4/10. Course Contents Epson Large Format Printing Technology 1/4/ Course Contents Course Contents - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 Course Overview - - - - - - - - - - - - - - - - - - - - - - - -

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

Just where it s needed

Just where it s needed Seite/Page: 1 Just where it s needed Continuing miniaturisation of many products requires a method of dispensing very small amounts of liquids Inkjet technology is capable of applying adhesives and many

More information

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore.

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. Title Ink-jet printing of transparent and stretchable electrodes for dielectric elastomer actuator Author(s)

More information

Integrated High Speed VCSELs for Bi-Directional Optical Interconnects

Integrated High Speed VCSELs for Bi-Directional Optical Interconnects Integrated High Speed VCSELs for Bi-Directional Optical Interconnects Volodymyr Lysak, Ki Soo Chang, Y ong Tak Lee (GIST, 1, Oryong-dong, Buk-gu, Gwangju 500-712, Korea, T el: +82-62-970-3129, Fax: +82-62-970-3128,

More information

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films Hermetic Packaging Solutions using Borosilicate Glass Thin Films 1 Company Profile Company founded in 2006 ISO 9001:2008 qualified since 2011 Headquarters and Production in Dresden, Germany Production

More information

A new Vertical JFET Technology for Harsh Radiation Applications

A new Vertical JFET Technology for Harsh Radiation Applications A New Vertical JFET Technology for Harsh Radiation Applications ISPS 2016 1 A new Vertical JFET Technology for Harsh Radiation Applications A Rad-Hard switch for the ATLAS Inner Tracker P. Fernández-Martínez,

More information

Basic function of head = reading information on the hard disc. Magnetic head mounted to a SS suspension arm. Hard Disc Air gap (

Basic function of head = reading information on the hard disc. Magnetic head mounted to a SS suspension arm. Hard Disc Air gap ( Basic function of head = reading information on the hard disc Magnetic head mounted to a SS suspension arm Hard Disc Air gap (0.001-0.002 mm) Head mounted to a SS suspension arm Physical Properties of

More information

OPTIMIZING AEROSOL JET PRINTING OF SILVER INTERCONNECTS ON POLYIMIDE FILM FOR EMBEDDED ELECTRONICS APPLICATIONS

OPTIMIZING AEROSOL JET PRINTING OF SILVER INTERCONNECTS ON POLYIMIDE FILM FOR EMBEDDED ELECTRONICS APPLICATIONS 8th International DAAAM Baltic Conference "INDUSTRIAL ENGINEERING" 19-21 April 2012, Tallinn, Estonia OPTIMIZING AEROSOL JET PRINTING OF SILVER INTERCONNECTS ON POLYIMIDE FILM FOR EMBEDDED ELECTRONICS

More information

Visual Imaging in the Electronic Age

Visual Imaging in the Electronic Age Visual Imaging in the Electronic Age ART 2107, ARCH 3702, CS 1620, ENGRI 1620 3D Printing October 20, 2015 Prof. Donald P. Greenberg dpg5@cornell.edu Types of 3D Printers Selective deposition printers

More information

SiPM development within the FBK/INFN collaboration. G. Ambrosi INFN Perugia

SiPM development within the FBK/INFN collaboration. G. Ambrosi INFN Perugia SiPM development within the FBK/INFN collaboration G. Ambrosi INFN Perugia 2 FBK Trento (IT) Clean room «Detectors»: - 500m2-6 wafers - Equipped with: ion implanter 8 furnaces wet etching dry etching lithography

More information

Synthesis of Silicon. applications. Nanowires Team. Régis Rogel (Ass.Pr), Anne-Claire Salaün (Ass. Pr)

Synthesis of Silicon. applications. Nanowires Team. Régis Rogel (Ass.Pr), Anne-Claire Salaün (Ass. Pr) Synthesis of Silicon nanowires for sensor applications Anne-Claire Salaün Nanowires Team Laurent Pichon (Pr), Régis Rogel (Ass.Pr), Anne-Claire Salaün (Ass. Pr) Ph-D positions: Fouad Demami, Liang Ni,

More information

A comparative study of two conductive inkjet inks for fabrication of RF circuit structures

A comparative study of two conductive inkjet inks for fabrication of RF circuit structures A comparative study of two conductive inkjet inks for fabrication of RF circuit structures A. Sridhar *, D.J. van Dijk, R. Akkerman Production Technology Group, University of Twente, Enschede, the Netherlands

More information

APPLICATION NOTE 6381 ORGANIC LAND GRID ARRAY (OLGA) AND ITS APPLICATIONS

APPLICATION NOTE 6381 ORGANIC LAND GRID ARRAY (OLGA) AND ITS APPLICATIONS Keywords: OLGA, SMT, PCB design APPLICATION NOTE 6381 ORGANIC LAND GRID ARRAY (OLGA) AND ITS APPLICATIONS Abstract: This application note discusses Maxim Integrated s OLGA and provides the PCB design and

More information

AEROSOL JET PRINTING SYSTEM FOR HIGH SPEED, NON-CONTACT FRONT SIDE METALLIZATION OF SILICON SOLAR CELLS

AEROSOL JET PRINTING SYSTEM FOR HIGH SPEED, NON-CONTACT FRONT SIDE METALLIZATION OF SILICON SOLAR CELLS AEROSOL JET PRINTING SYSTEM FOR HIGH SPEED, NON-CONTACT FRONT SIDE METALLIZATION OF SILICON SOLAR CELLS Bruce H. King and Stephen M. Barnes Optomec, Inc. 3911 Singer NE, Albuquerque, NM 87109, US Phone

More information

Inkjet printing of nanosized silver colloids

Inkjet printing of nanosized silver colloids INSTITUTE OF PHYSICS PUBLISHING NANOTECHNOLOGY Nanotechnology 16 (2005) 2436 2441 doi:10.1088/0957-4484/16/10/074 Inkjet printing of nanosized silver colloids Hsien-Hsueh Lee, Kan-Sen Chou 1 and Kuo-Cheng

More information

Flexible transistor active matrix array with all screen-printed electrodes

Flexible transistor active matrix array with all screen-printed electrodes Title Flexible transistor active matrix array with all screen-printed electrodes Author(s) Peng, B; Lin, JW; Chan, KL Citation Conference 8831 - Organic Field-Effect Transistors XII; and Organic Semiconductors

More information

Precision microcomb design and fabrication for x-ray optics assembly

Precision microcomb design and fabrication for x-ray optics assembly Precision microcomb design and fabrication for x-ray optics assembly Yanxia Sun, a) Ralf K. Heilmann, b) Carl G. Chen, Craig R. Forest, and Mark L. Schattenburg Space Nanotechnology Laboratory, Center

More information

IMPROVEMENTS TO THE ELECTROHYDRODYNAMIC JET PRINTING PROCESS SARAH MANNEN THESIS

IMPROVEMENTS TO THE ELECTROHYDRODYNAMIC JET PRINTING PROCESS SARAH MANNEN THESIS IMPROVEMENTS TO THE ELECTROHYDRODYNAMIC JET PRINTING PROCESS BY SARAH MANNEN THESIS Submitted in partial fulfillment of the requirements for the degree of Master of Science in Mechanical Engineering in

More information

Nanoscale Material Characterization with Differential Interferometric Atomic Force Microscopy

Nanoscale Material Characterization with Differential Interferometric Atomic Force Microscopy Nanoscale Material Characterization with Differential Interferometric Atomic Force Microscopy F. Sarioglu, M. Liu, K. Vijayraghavan, A. Gellineau, O. Solgaard E. L. Ginzton Laboratory University Tip-sample

More information

Deliverable D5.2 DEMO chip processing option 3

Deliverable D5.2 DEMO chip processing option 3 Deliverable D5.2 DEMO chip processing option 3 Deliverable D5.2 DEMO chip processing Option 3 Date: 22-03-2017 PiezoMAT 2017-03-22_Delivrable_D5.2 Author(s): E.Saoutieff; M.Allain (CEA) Participant(s):

More information

Nanofluidic Diodes based on Nanotube Heterojunctions

Nanofluidic Diodes based on Nanotube Heterojunctions Supporting Information Nanofluidic Diodes based on Nanotube Heterojunctions Ruoxue Yan, Wenjie Liang, Rong Fan, Peidong Yang 1 Department of Chemistry, University of California, Berkeley, CA 94720, USA

More information

Microfluidically Tunable Paper-Based Inkjet-Printed Metamaterial Absorber.

Microfluidically Tunable Paper-Based Inkjet-Printed Metamaterial Absorber. Forum for Electromagnetic Research Methods and Application Technologies (FERMAT) Microfluidically Tunable Paper-Based Inkjet-Printed Metamaterial Absorber. Kenyu Ling 1, Minyeong Yoo 1, Wenjing Su 2, Kyeongseob

More information

Laser printing for micro and nanomanufacturing

Laser printing for micro and nanomanufacturing Laser printing for micro and nanomanufacturing Ph. Delaporte Lasers, Plasmas and Photonics Processes Laboratory, CNRS, Aix-Marseille University Marseille, France Contact: Philippe Delaporte delaporte@lp3.univ-mrs.fr

More information

Flexible 2-Layer Paper Printed Circuit Board Fabricated by Inkjet Printing for 3-D Origami Electronics

Flexible 2-Layer Paper Printed Circuit Board Fabricated by Inkjet Printing for 3-D Origami Electronics INTERNATIONAL JOURNAL OF PRECISION ENGINEERING AND MANUFACTURING-GREEN TECHNOLOGY Vol. 5, No. 3, pp. 421-426 JULY 2018 / 421 REGULAR PAPER DOI: 10.1007/s40684-018-0045-2 ISSN 2288-6206 (Print) / 2198-0810

More information

Printing as a material deposition process

Printing as a material deposition process Printing as a material deposition process Printing Materials, Mumbai 5 th March 2011 Prof. Fritz Bircher, www.printtechnology.ch 1 Presentation outline Material deposition The inkjet printing process Interaction

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr April 2012 - Version 1 Written by: Romain FRAUX DISCLAIMER

More information

FUJIFILM Dimatix Technology Integration:

FUJIFILM Dimatix Technology Integration: News Release Editorial Contact: Edward Chrusciel FUJIFILM Dimatix 603-443-5364 echrusciel@dimatix.com FOR IMMEDIATE RELEASE FUJIFILM Dimatix Technology Integration: Helping companies incorporate the power

More information

Optical MEMS in Compound Semiconductors Advanced Engineering Materials, Cal Poly, SLO November 16, 2007

Optical MEMS in Compound Semiconductors Advanced Engineering Materials, Cal Poly, SLO November 16, 2007 Optical MEMS in Compound Semiconductors Advanced Engineering Materials, Cal Poly, SLO November 16, 2007 Outline Brief Motivation Optical Processes in Semiconductors Reflectors and Optical Cavities Diode

More information

Okamoto Machine Tool Works, LTD. June 22, th SEMATECH Symposium Japan 1

Okamoto Machine Tool Works, LTD. June 22, th SEMATECH Symposium Japan 1 Okamoto Machine Tool Works, LTD 1 Contents Solutions for TSV Wafer Thinning Process (Front Side Via) TSV Wafer Thinning Challenges Process Improvement (4-years Development) TSV Wafer Thinning Tool (TSV300)

More information

Conversion, Application and Maintenance of the Avery Dennison Floor Marking System Instructional Bulletin #6.30

Conversion, Application and Maintenance of the Avery Dennison Floor Marking System Instructional Bulletin #6.30 Conversion, Application and Maintenance of the Avery Dennison Floor Marking System #6.30 (Revision 16) Dated: 12/31/14 1.0 Scope Due to the unique nature of the Floor Marking System, particular attention

More information

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER As we discussed in chapter 1, silicon photonics has received much attention in the last decade. The main reason is

More information

Optimisation of Substrate Angles for Three Dimensional Inkjet Printing of multifunctional

Optimisation of Substrate Angles for Three Dimensional Inkjet Printing of multifunctional Optimisation of Substrate Angles for Three Dimensional Inkjet Printing of multifunctional and multi-material parts Jayasheelan Vaithilingam*, Kasidis Laoboonmee, Ehab Saleh, Richard J.M. Hague, Ricky D.

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Supplementary Figures

Supplementary Figures Supplementary Figures Supplementary Figure 1 The diameter and length of AgNWs. (a) SEM image and (b) AFM image of AgNWs coated on a SiO2/Si wafer at 500 rpm for 30 sec. The diameter and length of the AgNWs

More information

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS Vladimír KOLAŘÍK, Stanislav KRÁTKÝ, Michal URBÁNEK, Milan MATĚJKA, Jana CHLUMSKÁ, Miroslav HORÁČEK, Institute of Scientific Instruments of the

More information

High-speed wavefront control using MEMS micromirrors T. G. Bifano and J. B. Stewart, Boston University [ ] Introduction

High-speed wavefront control using MEMS micromirrors T. G. Bifano and J. B. Stewart, Boston University [ ] Introduction High-speed wavefront control using MEMS micromirrors T. G. Bifano and J. B. Stewart, Boston University [5895-27] Introduction Various deformable mirrors for high-speed wavefront control have been demonstrated

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

Advances in X-Ray Technology for Semicon Applications Keith Bryant and Thorsten Rother

Advances in X-Ray Technology for Semicon Applications Keith Bryant and Thorsten Rother Advances in X-Ray Technology for Semicon Applications Keith Bryant and Thorsten Rother X-Ray Champions, Telspec, Yxlon International Agenda The x-ray tube, the heart of the system Advances in digital detectors

More information

Conformal Electronics Wrapped Around Daily-life Objects. Using Original Method: Water Transfer Printing.

Conformal Electronics Wrapped Around Daily-life Objects. Using Original Method: Water Transfer Printing. Supporting Information Conformal Electronics Wrapped Around Daily-life Objects Using Original Method: Water Transfer Printing. Brice Le Borgne, Olivier De Sagazan, Samuel Crand, Emmanuel Jacques, Maxime

More information

Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors

Micro-sensors - what happens when you make classical devices small: MEMS devices and integrated bolometric IR detectors Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors Dean P. Neikirk 1 MURI bio-ir sensors kick-off 6/16/98 Where are the targets

More information

Will contain image distance after raytrace Will contain image height after raytrace

Will contain image distance after raytrace Will contain image height after raytrace Name: LASR 51 Final Exam May 29, 2002 Answer all questions. Module numbers are for guidance, some material is from class handouts. Exam ends at 8:20 pm. Ynu Raytracing The first questions refer to the

More information

An All Inkjet Printed Capacitor on Glass Substrate Using Solvent Based (PVP) Ink

An All Inkjet Printed Capacitor on Glass Substrate Using Solvent Based (PVP) Ink An All Inkjet Printed Capacitor on Glass Substrate Using Solvent Based (PVP) Ink Khushbeen Department of Printing Technology GJUS&T, Hisar, Haryana, India Email- khushveen12@gmail.com Abstract- Inkjet

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

COLARIS-NF.MULTI DIGITAL PRINTING & DYEING SYSTEM FOR NARROW FABRICS. ... high speed. ... high quality. ... high efficiency

COLARIS-NF.MULTI DIGITAL PRINTING & DYEING SYSTEM FOR NARROW FABRICS. ... high speed. ... high quality. ... high efficiency COLARIS-NF.MULTI DIGITAL PRINTING & DYEING SYSTEM FOR NARROW FABRICS... high speed... high quality... high efficiency www.zimmer-austria.com 10 May 2016 page 1 COLARIS-NF THE DIGITAL PRINTING SYSTEMS FOR

More information