Measuring and Evaluating Computer System Performance

Size: px
Start display at page:

Download "Measuring and Evaluating Computer System Performance"

Transcription

1 Measuring and Evaluating Computer System Performance

2 Performance Marches On... But what is performance?

3 The bottom line: Performance Car Time to Bay Area Speed Passengers Throughput (pmph) Ferrari 3.1 hours 160 mph Greyhound 7.7 hours 65 mph Time to do the task execution time, response time, latency Tasks per day, hour, week, sec, ns... throughput, bandwidth

4 How to measure Execution Time? % time program... program results u 12.9s 2:39 65% % Wall-clock time? user CPU time? user + kernel CPU time? Answer:

5 Our definition of Performance Performance X = 1 Execution Time X, for program X only has meaning in the context of a program or workload Not very intuitive as an absolute measure, but most of the time we re more interested in relative performance.

6 Relative Performance can be confusing A runs in 12 seconds B runs in 20 seconds A/B =.6, so A is 40% faster, or 1.4X faster, or B is 40% slower B/A = 1.67, so A is 67% faster, or 1.67X faster, or B is 67% slower needs a precise definition

7 Relative Performance, the Definition Relative Performance (X/Y) Performance X Performance Y Execution Time Y Execution Time X = = = n "X is n times faster than Y" "X is n times as fast as Y" "From Y to X, speedup is n"

8 Example Machine A runs program C in 9 seconds, Machine B runs the same program in 6 seconds. What is the speedup we see if we move to Machine B from Machine A? Machine B gets a new compiler, and can now run the program in 3 seconds.???

9 What is Time? CPU Execution Time = CPU clock cycles * Clock cycle time Every conventional processor has a clock with an associated clock cycle time or clock rate Every program runs in an integral number of clock cycles Cycle Time MHz = millions of cycles/second, GHz = billions of cycles/second X MHz = 1000/X nanoseconds cycle time Y GHz = 1/Y nanoseconds cycle time

10 How many clock cycles? Number of CPU cycles = Instructions executed * Average Clock Cycles per Instruction (CPI) Computer A runs program C in 3.6 billion cycles. Program C consists of 2 billion dynamic instructions. What is the CPI?

11 How many clock cycles? Number of CPU cycles = Instructions executed * Average Clock Cycles per Instruction (CPI) A computer is running a program with CPI = 2.0, and executes 24 million instructions, how long will it run?

12 All Together Now seconds CPU Execution Time Instruction CPI = Count X X Clock Cycle Time instructions cycles/instruction seconds/cycle

13 CPU Execution Time Instruction CPI = Count X X Clock Cycle Time IC = 1 billion, 500 MHz processor, execution time of 3 seconds. What is the CPI for this program? Suppose we reduce CPI to 1.2 (through an architectural improvement). What is the new execution time?

14 Who Affects Performance? CPU Execution Time Instruction CPI = Count X X Clock Cycle Time programmer compiler instruction-set architect machine architect hardware designer materials scientist/physicist/silicon engineer

15 Performance Variation CPU Execution Time Instruction CPI = Count X X Clock Cycle Time Number of instructions CPI Clock Cycle Time Same machine different programs same programs, different machines, same ISA Same programs, different machines

16 MIPS MFLOPS Other Performance Metrics

17 MIPS MIPS = Millions of Instructions Per Second = Instruction Count Execution Time * 10 6 = Clock rate CPI * 10 6 Program-independent? Deceptive

18 FLOPS FLOPS = FLoating-point Operations Per Second Program-independent? Which operations? Useful, sometimes "Theoretical peak" FLOPS, peak FLOPS, sustained FLOPs How does execution time depend on FLOPS?

19 Which Programs? peak throughput measures (simple programs)? synthetic benchmarks (whetstone, dhrystone,...)? "kernels" of useful computation (lapack, fftw,...) Real applications SPEC (best of both worlds, but with problems of their own) System Performance Evaluation Cooperative Provides a common set of real applications along with strict guidelines for how to run them. provides a relatively unbiased means to compare machines.

20 Danger in Benchmark-Specific Performance Measures measures compiler as much as architecture (what about kernels?)

21 SPEC Performance on Pentium III and Pentium 4

22 Amdahl s Law The impact of a performance improvement is limited by the percent of execution time affected by the improvement Execution time after improvement = Execution Time Affected Amount of Improvement + Execution Time Unaffected Make the common case fast!!

23 Key Points Be careful how you specify performance Execution time = instructions * CPI * cycle time Use real applications Use standards, if possible Make the common case fast

Performance Metrics, Amdahl s Law

Performance Metrics, Amdahl s Law ecture 26 Computer Science 61C Spring 2017 March 20th, 2017 Performance Metrics, Amdahl s Law 1 New-School Machine Structures (It s a bit more complicated!) Software Hardware Parallel Requests Assigned

More information

CS 6290 Evaluation & Metrics

CS 6290 Evaluation & Metrics CS 6290 Evaluation & Metrics Performance Two common measures Latency (how long to do X) Also called response time and execution time Throughput (how often can it do X) Example of car assembly line Takes

More information

CS Computer Architecture Spring Lecture 04: Understanding Performance

CS Computer Architecture Spring Lecture 04: Understanding Performance CS 35101 Computer Architecture Spring 2008 Lecture 04: Understanding Performance Taken from Mary Jane Irwin (www.cse.psu.edu/~mji) and Kevin Schaffer [Adapted from Computer Organization and Design, Patterson

More information

Performance Metrics. Computer Architecture. Outline. Objectives. Basic Performance Metrics. Basic Performance Metrics

Performance Metrics. Computer Architecture. Outline. Objectives. Basic Performance Metrics. Basic Performance Metrics Computer Architecture Prof. Dr. Nizamettin AYDIN naydin@yildiz.edu.tr nizamettinaydin@gmail.com Performance Metrics http://www.yildiz.edu.tr/~naydin 1 2 Objectives How can we meaningfully measure and compare

More information

Metrics How to improve performance? CPI MIPS Benchmarks CSC3501 S07 CSC3501 S07. Louisiana State University 4- Performance - 1

Metrics How to improve performance? CPI MIPS Benchmarks CSC3501 S07 CSC3501 S07. Louisiana State University 4- Performance - 1 Performance of Computer Systems Dr. Arjan Durresi Louisiana State University Baton Rouge, LA 70810 Durresi@Csc.LSU.Edu LSUEd These slides are available at: http://www.csc.lsu.edu/~durresi/csc3501_07/ Louisiana

More information

Assessing and. Rui Wang, Assistant professor Dept. of Information and Communication Tongji University.

Assessing and. Rui Wang, Assistant professor Dept. of Information and Communication Tongji University. Assessing and Understanding Performance Rui Wang, Assistant professor Dept. of Information and Communication Tongji University it Email: ruiwang@tongji.edu.cn 4.1 Introduction Pi Primary reason for examining

More information

Administrative Issues

Administrative Issues dministrative Issues Text book ($56.69 in mazon.com) Scanned problem set Email list Homework 1 announced, due 01/13/10 Quiz, 01/15/10 Graduate students meeting Relevant chapters in textbook? Technology

More information

Lec 24: Parallel Processors. Announcements

Lec 24: Parallel Processors. Announcements Lec 24: Parallel Processors Kavita ala CS 3410, Fall 2008 Computer Science Cornell University P 3 out Hack n Seek nnouncements The goal is to have fun with it Recitations today will talk about it Pizza

More information

CSE502: Computer Architecture Welcome to CSE 502

CSE502: Computer Architecture Welcome to CSE 502 Welcome to CSE 502 Introduction & Review Today s Lecture Course Overview Course Topics Grading Logistics Academic Integrity Policy Homework Quiz Key basic concepts for Computer Architecture Course Overview

More information

CSE 305: Computer Architecture

CSE 305: Computer Architecture CSE 305: Computer Architecture Tanvir Ahmed Khan takhandipu@gmail.com Department of Computer Science and Engineering Bangladesh University of Engineering and Technology. September 6, 2015 1/16 Recap 2/16

More information

CS4617 Computer Architecture

CS4617 Computer Architecture 1/26 CS4617 Computer Architecture Lecture 2 Dr J Vaughan September 10, 2014 2/26 Amdahl s Law Speedup = Execution time for entire task without using enhancement Execution time for entire task using enhancement

More information

Computer Architecture

Computer Architecture Computer Architecture Lecture 01 Arkaprava Basu www.csa.iisc.ac.in Acknowledgements Several of the slides in the deck are from Luis Ceze (Washington), Nima Horanmand (Stony Brook), Mark Hill, David Wood,

More information

CS61c: Introduction to Synchronous Digital Systems

CS61c: Introduction to Synchronous Digital Systems CS61c: Introduction to Synchronous Digital Systems J. Wawrzynek March 4, 2006 Optional Reading: P&H, Appendix B 1 Instruction Set Architecture Among the topics we studied thus far this semester, was the

More information

ECE473 Computer Architecture and Organization. Pipeline: Introduction

ECE473 Computer Architecture and Organization. Pipeline: Introduction Computer Architecture and Organization Pipeline: Introduction Lecturer: Prof. Yifeng Zhu Fall, 2015 Portions of these slides are derived from: Dave Patterson UCB Lec 11.1 The Laundry Analogy Student A,

More information

CS429: Computer Organization and Architecture

CS429: Computer Organization and Architecture CS429: Computer Organization and Architecture Dr. Bill Young Department of Computer Sciences University of Texas at Austin Last updated: November 8, 2017 at 09:27 CS429 Slideset 14: 1 Overview What s wrong

More information

Single vs. Mul2- cycle MIPS. Single Clock Cycle Length

Single vs. Mul2- cycle MIPS. Single Clock Cycle Length Single vs. Mul2- cycle MIPS Single Clock Cycle Length Suppose we have 2ns 2ns ister read 2ns ister write 2ns ory read 2ns ory write 2ns 2ns What is the clock cycle length? 1 Single Cycle Length Worst case

More information

Best Instruction Per Cycle Formula >>>CLICK HERE<<<

Best Instruction Per Cycle Formula >>>CLICK HERE<<< Best Instruction Per Cycle Formula 6 Performance tuning, 7 Perceived performance, 8 Performance Equation, 9 See also is the average instructions per cycle (IPC) for this benchmark. Even. Click Card to

More information

CS 110 Computer Architecture Lecture 11: Pipelining

CS 110 Computer Architecture Lecture 11: Pipelining CS 110 Computer Architecture Lecture 11: Pipelining Instructor: Sören Schwertfeger http://shtech.org/courses/ca/ School of Information Science and Technology SIST ShanghaiTech University Slides based on

More information

CS586: Distributed Computing Tutorial 1

CS586: Distributed Computing Tutorial 1 CS586: Distributed Computing Tutorial 1 Professor: Panagiota Fatourou TA: Eleftherios Kosmas CSD - October 2011 Amdahl's Law It is used to predict the theoretical maximum speedup of a sequential program,

More information

CS 61C: Great Ideas in Computer Architecture Finite State Machines, Functional Units

CS 61C: Great Ideas in Computer Architecture Finite State Machines, Functional Units CS 61C: Great Ideas in Computer Architecture Finite State Machines, Functional Units Instructors: Vladimir Stojanovic and Nicholas Weaver http://inst.eecs.berkeley.edu/~cs61c/sp16 1 Machine Interpretation

More information

7/11/2012. Single Cycle (Review) CSE 2021: Computer Organization. Multi-Cycle Implementation. Single Cycle with Jump. Pipelining Analogy

7/11/2012. Single Cycle (Review) CSE 2021: Computer Organization. Multi-Cycle Implementation. Single Cycle with Jump. Pipelining Analogy CSE 2021: Computer Organization Single Cycle (Review) Lecture-10 CPU Design : Pipelining-1 Overview, Datapath and control Shakil M. Khan CSE-2021 July-12-2012 2 Single Cycle with Jump Multi-Cycle Implementation

More information

CS152 Computer Architecture and Engineering Lecture 3: ReviewTechnology & Delay Modeling. September 3, 1997

CS152 Computer Architecture and Engineering Lecture 3: ReviewTechnology & Delay Modeling. September 3, 1997 CS152 Computer Architecture and Engineering Lecture 3: ReviewTechnology & Delay Modeling September 3, 1997 Dave Patterson (httpcsberkeleyedu/~patterson) lecture slides: http://www-insteecsberkeleyedu/~cs152/

More information

Computer Hardware. Pipeline

Computer Hardware. Pipeline Computer Hardware Pipeline Conventional Datapath 2.4 ns is required to perform a single operation (i.e. 416.7 MHz). Register file MUX B 0.6 ns Clock 0.6 ns 0.2 ns Function unit 0.8 ns MUX D 0.2 ns c. Production

More information

Pipelining A B C D. Readings: Example: Doing the laundry. Ann, Brian, Cathy, & Dave. each have one load of clothes to wash, dry, and fold

Pipelining A B C D. Readings: Example: Doing the laundry. Ann, Brian, Cathy, & Dave. each have one load of clothes to wash, dry, and fold Pipelining Readings: 4.5-4.8 Example: Doing the laundry Ann, Brian, Cathy, & Dave A B C D each have one load of clothes to wash, dry, and fold Washer takes 30 minutes Dryer takes 40 minutes Folder takes

More information

Instructor: Dr. Mainak Chaudhuri. Instructor: Dr. S. K. Aggarwal. Instructor: Dr. Rajat Moona

Instructor: Dr. Mainak Chaudhuri. Instructor: Dr. S. K. Aggarwal. Instructor: Dr. Rajat Moona NPTEL Online - IIT Kanpur Instructor: Dr. Mainak Chaudhuri Instructor: Dr. S. K. Aggarwal Course Name: Department: Program Optimization for Multi-core Architecture Computer Science and Engineering IIT

More information

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture Overview 1 Trends in Microprocessor Architecture R05 Robert Mullins Computer architecture Scaling performance and CMOS Where have performance gains come from? Modern superscalar processors The limits of

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

Outline Simulators and such. What defines a simulator? What about emulation?

Outline Simulators and such. What defines a simulator? What about emulation? Outline Simulators and such Mats Brorsson & Mladen Nikitovic ICT Dept of Electronic, Computer and Software Systems (ECS) What defines a simulator? Why are simulators needed? Classifications Case studies

More information

A Static Power Model for Architects

A Static Power Model for Architects A Static Power Model for Architects J. Adam Butts and Guri Sohi University of Wisconsin-Madison {butts,sohi}@cs.wisc.edu 33rd International Symposium on Microarchitecture Monterey, California December,

More information

Synthetic Aperture Beamformation using the GPU

Synthetic Aperture Beamformation using the GPU Paper presented at the IEEE International Ultrasonics Symposium, Orlando, Florida, 211: Synthetic Aperture Beamformation using the GPU Jens Munk Hansen, Dana Schaa and Jørgen Arendt Jensen Center for Fast

More information

EECE 321: Computer Organiza5on

EECE 321: Computer Organiza5on EECE 321: Computer Organiza5on Mohammad M. Mansour Dept. of Electrical and Compute Engineering American University of Beirut Lecture 21: Pipelining Processor Pipelining Same principles can be applied to

More information

Improving Loop-Gain Performance In Digital Power Supplies With Latest- Generation DSCs

Improving Loop-Gain Performance In Digital Power Supplies With Latest- Generation DSCs ISSUE: March 2016 Improving Loop-Gain Performance In Digital Power Supplies With Latest- Generation DSCs by Alex Dumais, Microchip Technology, Chandler, Ariz. With the consistent push for higher-performance

More information

CMSC 611: Advanced Computer Architecture

CMSC 611: Advanced Computer Architecture CMSC 611: Advanced Computer Architecture Pipelining Some material adapted from Mohamed Younis, UMBC CMSC 611 Spr 2003 course slides Some material adapted from Hennessy & Patterson / 2003 Elsevier Science

More information

Revisiting Dynamic Thermal Management Exploiting Inverse Thermal Dependence

Revisiting Dynamic Thermal Management Exploiting Inverse Thermal Dependence Revisiting Dynamic Thermal Management Exploiting Inverse Thermal Dependence Katayoun Neshatpour George Mason University kneshatp@gmu.edu Amin Khajeh Broadcom Corporation amink@broadcom.com Houman Homayoun

More information

Computer Architecture

Computer Architecture Computer Architecture An Introduction Virendra Singh Associate Professor Computer Architecture and Dependable Systems Lab Department of Electrical Engineering Indian Institute of Technology Bombay http://www.ee.iitb.ac.in/~viren/

More information

Challenges of in-circuit functional timing testing of System-on-a-Chip

Challenges of in-circuit functional timing testing of System-on-a-Chip Challenges of in-circuit functional timing testing of System-on-a-Chip David and Gregory Chudnovsky Institute for Mathematics and Advanced Supercomputing Polytechnic Institute of NYU Deep sub-micron devices

More information

Chapter 4. Pipelining Analogy. The Processor. Pipelined laundry: overlapping execution. Parallelism improves performance. Four loads: Non-stop:

Chapter 4. Pipelining Analogy. The Processor. Pipelined laundry: overlapping execution. Parallelism improves performance. Four loads: Non-stop: Chapter 4 The Processor Part II Pipelining Analogy Pipelined laundry: overlapping execution Parallelism improves performance Four loads: Speedup = 8/3.5 = 2.3 Non-stop: Speedup p = 2n/(0.5n + 1.5) 4 =

More information

REAL TIME DIGITAL SIGNAL PROCESSING. Introduction

REAL TIME DIGITAL SIGNAL PROCESSING. Introduction REAL TIME DIGITAL SIGNAL Introduction Why Digital? A brief comparison with analog. PROCESSING Seminario de Electrónica: Sistemas Embebidos Advantages The BIG picture Flexibility. Easily modifiable and

More information

Lecture Topics. Announcements. Today: Pipelined Processors (P&H ) Next: continued. Milestone #4 (due 2/23) Milestone #5 (due 3/2)

Lecture Topics. Announcements. Today: Pipelined Processors (P&H ) Next: continued. Milestone #4 (due 2/23) Milestone #5 (due 3/2) Lecture Topics Today: Pipelined Processors (P&H 4.5-4.10) Next: continued 1 Announcements Milestone #4 (due 2/23) Milestone #5 (due 3/2) 2 1 ISA Implementations Three different strategies: single-cycle

More information

A B C D. Ann, Brian, Cathy, & Dave each have one load of clothes to wash, dry, and fold. Time

A B C D. Ann, Brian, Cathy, & Dave each have one load of clothes to wash, dry, and fold. Time Pipelining Readings: 4.5-4.8 Example: Doing the laundry A B C D Ann, Brian, Cathy, & Dave each have one load of clothes to wash, dry, and fold Washer takes 30 minutes Dryer takes 40 minutes Folder takes

More information

USING EMBEDDED PROCESSORS IN HARDWARE MODELS OF ARTIFICIAL NEURAL NETWORKS

USING EMBEDDED PROCESSORS IN HARDWARE MODELS OF ARTIFICIAL NEURAL NETWORKS USING EMBEDDED PROCESSORS IN HARDWARE MODELS OF ARTIFICIAL NEURAL NETWORKS DENIS F. WOLF, ROSELI A. F. ROMERO, EDUARDO MARQUES Universidade de São Paulo Instituto de Ciências Matemáticas e de Computação

More information

Computational Efficiency of the GF and the RMF Transforms for Quaternary Logic Functions on CPUs and GPUs

Computational Efficiency of the GF and the RMF Transforms for Quaternary Logic Functions on CPUs and GPUs 5 th International Conference on Logic and Application LAP 2016 Dubrovnik, Croatia, September 19-23, 2016 Computational Efficiency of the GF and the RMF Transforms for Quaternary Logic Functions on CPUs

More information

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND.

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND. December 3-6, 2018 Santa Clara Convention Center CA, USA REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND. https://tmt.knect365.com/risc-v-summit @risc_v ACCELERATING INFERENCING ON THE EDGE WITH RISC-V

More information

Experience with new architectures: moving from HELIOS to Marconi

Experience with new architectures: moving from HELIOS to Marconi Experience with new architectures: moving from HELIOS to Marconi Serhiy Mochalskyy, Roman Hatzky 3 rd Accelerated Computing For Fusion Workshop November 28 29 th, 2016, Saclay, France High Level Support

More information

CS 61C: Great Ideas in Computer Architecture Lecture 10: Finite State Machines, Func/onal Units. Machine Interpreta4on

CS 61C: Great Ideas in Computer Architecture Lecture 10: Finite State Machines, Func/onal Units. Machine Interpreta4on CS 61C: Great Ideas in Computer Architecture Lecture 10: Finite State Machines, Func/onal Units Instructor: Sagar Karandikar sagark@eecs.berkeley.edu hep://inst.eecs.berkeley.edu/~cs61c 1 Levels of RepresentaJon/

More information

RTT TECHNOLOGY TOPIC January G DSP

RTT TECHNOLOGY TOPIC January G DSP RTT TECHNOLOGY TOPIC January 2016 5G DSP November s technology topic, LTE and 5G Public Safety, discussed the trend towards wider bandwidth channels from the present 5 or 10 MHz channels used in 3G and

More information

Recent Advances in Simulation Techniques and Tools

Recent Advances in Simulation Techniques and Tools Recent Advances in Simulation Techniques and Tools Yuyang Li, li.yuyang(at)wustl.edu (A paper written under the guidance of Prof. Raj Jain) Download Abstract: Simulation refers to using specified kind

More information

Image Processing Architectures (and their future requirements)

Image Processing Architectures (and their future requirements) Lecture 17: Image Processing Architectures (and their future requirements) Visual Computing Systems Smart phone processing resources Qualcomm snapdragon Image credit: Qualcomm Apple A7 (iphone 5s) Chipworks

More information

The Nanokernel. David L. Mills University of Delaware 2-Aug-04 1

The Nanokernel. David L. Mills University of Delaware  2-Aug-04 1 The Nanokernel David L. Mills University of Delaware http://www.eecis.udel.edu/~mills mailto:mills@udel.edu Sir John Tenniel; Alice s Adventures in Wonderland,Lewis Carroll 2-Aug-04 1 Going faster and

More information

What this paper is about:

What this paper is about: The Impact of Pipelining on Energy per Operation in Field-Programmable Gate Arrays Steve Wilton Department of Electrical and Computer Engineering University of British Columbia Vancouver, Canada Su-Shin

More information

Energy-Performance Trade-offs on Energy-Constrained Devices with Multi-Component DVFS

Energy-Performance Trade-offs on Energy-Constrained Devices with Multi-Component DVFS Energy-Performance Trade-offs on Energy-Constrained Devices with Multi-Component DVFS Rizwana Begum, David Werner and Mark Hempstead Drexel University {rb639,daw77,mhempstead}@drexel.edu Guru Prasad, Jerry

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

Blackfin Online Learning & Development

Blackfin Online Learning & Development A Presentation Title: Blackfin Optimizations for Performance and Power Consumption Presenter: Merril Weiner, Senior DSP Engineer Chapter 1: Introduction Subchapter 1a: Agenda Chapter 1b: Overview Chapter

More information

Announcements. Advanced Digital Integrated Circuits. Midterm feedback mailed back Homework #3 posted over the break due April 8

Announcements. Advanced Digital Integrated Circuits. Midterm feedback mailed back Homework #3 posted over the break due April 8 EE241 - Spring 21 Advanced Digital Integrated Circuits Lecture 18: Dynamic Voltage Scaling Announcements Midterm feedback mailed back Homework #3 posted over the break due April 8 Reading: Chapter 5, 6,

More information

How cryptographic benchmarking goes wrong. Thanks to NIST 60NANB12D261 for funding this work, and for not reviewing these slides in advance.

How cryptographic benchmarking goes wrong. Thanks to NIST 60NANB12D261 for funding this work, and for not reviewing these slides in advance. How cryptographic benchmarking goes wrong 1 Daniel J. Bernstein Thanks to NIST 60NANB12D261 for funding this work, and for not reviewing these slides in advance. PRESERVE, ending 2015.06.30, was a European

More information

EE382N-20 Computer Architecture Parallelism and Locality Lecture 1

EE382N-20 Computer Architecture Parallelism and Locality Lecture 1 EE382-20 Computer Architecture Parallelism and Locality Lecture 1 Mattan Erez The University of Texas at Austin EE382-20: Lecture 1 (c) Mattan Erez What is this class about? Computer architecture Principles

More information

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir Parallel Computing 2020: Preparing for the Post-Moore Era Marc Snir THE (CMOS) WORLD IS ENDING NEXT DECADE So says the International Technology Roadmap for Semiconductors (ITRS) 2 End of CMOS? IN THE LONG

More information

Final Report: DBmbench

Final Report: DBmbench 18-741 Final Report: DBmbench Yan Ke (yke@cs.cmu.edu) Justin Weisz (jweisz@cs.cmu.edu) Dec. 8, 2006 1 Introduction Conventional database benchmarks, such as the TPC-C and TPC-H, are extremely computationally

More information

EECS 579 Fall What is Testing?

EECS 579 Fall What is Testing? EECS 579 Fall 2001 Recap Text (new): Essentials of Electronic Testing by M. Bushnell & V. Agrawal, Kluwer, Boston, 2000. Class Home Page: http://www.eecs.umich.edu/courses/eecs579 Lecture notes and other

More information

Suggested Readings! Lecture 12" Introduction to Pipelining! Example: We have to build x cars...! ...Each car takes 6 steps to build...! ! Readings!

Suggested Readings! Lecture 12 Introduction to Pipelining! Example: We have to build x cars...! ...Each car takes 6 steps to build...! ! Readings! 1! CSE 30321 Lecture 12 Introduction to Pipelining! CSE 30321 Lecture 12 Introduction to Pipelining! 2! Suggested Readings!! Readings!! H&P: Chapter 4.5-4.7!! (Over the next 3-4 lectures)! Lecture 12"

More information

22 Marzo 2012 IFEMA, Madrid spain.ni.com/nidays.

22 Marzo 2012 IFEMA, Madrid spain.ni.com/nidays. 22 Marzo 2012 IFEMA, Madrid spain.ni.com/nidays www.infoplc.net The Art of Benchmarking Speed PXI Versus Rack-and-Stack Test Equipment Filippo Persia Systems Engineer Automated Test Mediterranean Region

More information

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs Li Zhou and Avinash Kodi Technologies for Emerging Computer Architecture Laboratory (TEAL) School of Electrical Engineering and

More information

ΕΠΛ 605: Προχωρημένη Αρχιτεκτονική

ΕΠΛ 605: Προχωρημένη Αρχιτεκτονική ΕΠΛ 605: Προχωρημένη Αρχιτεκτονική Υπολογιστών Presentation of UniServer Horizon 2020 European project findings: X-Gene server chips, voltage-noise characterization, high-bandwidth voltage measurements,

More information

RF and Microwave Test and Design Roadshow 5 Locations across Australia and New Zealand

RF and Microwave Test and Design Roadshow 5 Locations across Australia and New Zealand RF and Microwave Test and Design Roadshow 5 Locations across Australia and New Zealand Advanced PXI Technologies Signal Recording, FPGA s, and Synchronization Outline Introduction to the PXI Architecture

More information

Ben Baker. Sponsored by:

Ben Baker. Sponsored by: Ben Baker Sponsored by: Background Agenda GPU Computing Digital Image Processing at FamilySearch Potential GPU based solutions Performance Testing Results Conclusions and Future Work 2 CPU vs. GPU Architecture

More information

Keysight Technologies N9051B Pulse Measurement Software X-Series Signal Analyzers. Technical Overview

Keysight Technologies N9051B Pulse Measurement Software X-Series Signal Analyzers. Technical Overview Keysight Technologies N9051B Pulse Measurement Software X-Series Signal Analyzers Technical Overview 02 Keysight N9051B Pulse Measurement Software X-Series Signal Analyzers - Technical Overview Features

More information

Image Processing Architectures (and their future requirements)

Image Processing Architectures (and their future requirements) Lecture 16: Image Processing Architectures (and their future requirements) Visual Computing Systems Smart phone processing resources Example SoC: Qualcomm Snapdragon Image credit: Qualcomm Apple A7 (iphone

More information

The Metrics and Designs of an Arithmetic Logic Function over

The Metrics and Designs of an Arithmetic Logic Function over The Metrics and Designs of an Arithmetic Logic Function over 2002-2015 Jimmy Vallejo Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362 Abstract There

More information

SPEC. Intelligent EW Systems for Complex Spectrum Operations ADEP. ADEP Product Descriptions

SPEC. Intelligent EW Systems for Complex Spectrum Operations ADEP. ADEP Product Descriptions Intelligent EW Systems for Complex Spectrum Operations ADEP TM Dynamic Engagement Products for Configurable Operational Response & Advanced Range Solutions ADEP Product Descriptions SPEC SPEC ADEP Overview

More information

CORRECTED VISION. Here be underscores THE ROLE OF CAMERA AND LENS PARAMETERS IN REAL-WORLD MEASUREMENT

CORRECTED VISION. Here be underscores THE ROLE OF CAMERA AND LENS PARAMETERS IN REAL-WORLD MEASUREMENT Here be underscores CORRECTED VISION THE ROLE OF CAMERA AND LENS PARAMETERS IN REAL-WORLD MEASUREMENT JOSEPH HOWSE, NUMMIST MEDIA CIG-GANS WORKSHOP: 3-D COLLECTION, ANALYSIS AND VISUALIZATION LAWRENCETOWN,

More information

DASH: Deadline-Aware High-Performance Memory Scheduler for Heterogeneous Systems with Hardware Accelerators

DASH: Deadline-Aware High-Performance Memory Scheduler for Heterogeneous Systems with Hardware Accelerators DASH: Deadline-Aware High-Performance Memory Scheduler for Heterogeneous Systems with Hardware Accelerators Hiroyuki Usui, Lavanya Subramanian Kevin Chang, Onur Mutlu DASH source code is available at GitHub

More information

RPG XFFTS. extended bandwidth Fast Fourier Transform Spectrometer. Technical Specification

RPG XFFTS. extended bandwidth Fast Fourier Transform Spectrometer. Technical Specification RPG XFFTS extended bandwidth Fast Fourier Transform Spectrometer Technical Specification 19 XFFTS crate equiped with eight XFFTS boards and one XFFTS controller Fast Fourier Transform Spectrometer The

More information

Author: Yih-Yih Lin. Correspondence: Yih-Yih Lin Hewlett-Packard Company MR Forest Street Marlboro, MA USA

Author: Yih-Yih Lin. Correspondence: Yih-Yih Lin Hewlett-Packard Company MR Forest Street Marlboro, MA USA 4 th European LS-DYNA Users Conference MPP / Linux Cluster / Hardware I A Correlation Study between MPP LS-DYNA Performance and Various Interconnection Networks a Quantitative Approach for Determining

More information

CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing

CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing CS250 VLSI Systems Design Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing Fall 2010 Krste Asanovic, John Wawrzynek with John Lazzaro and Yunsup Lee (TA) What do Computer

More information

Digital Filters Using the TMS320C6000

Digital Filters Using the TMS320C6000 HUNT ENGINEERING Chestnut Court, Burton Row, Brent Knoll, Somerset, TA9 4BP, UK Tel: (+44) (0)278 76088, Fax: (+44) (0)278 76099, Email: sales@hunteng.demon.co.uk URL: http://www.hunteng.co.uk Digital

More information

Dr. D. M. Akbar Hussain

Dr. D. M. Akbar Hussain Course Objectives: To enable the students to learn some more practical facts about DSP architectures. Objective is that they can apply this knowledge to map any digital filtering algorithm and related

More information

ni.com The NI PXIe-5644R Vector Signal Transceiver World s First Software-Designed Instrument

ni.com The NI PXIe-5644R Vector Signal Transceiver World s First Software-Designed Instrument The NI PXIe-5644R Vector Signal Transceiver World s First Software-Designed Instrument Agenda Hardware Overview Tenets of a Software-Designed Instrument NI PXIe-5644R Software Example Modifications Available

More information

Energy Efficient Circuit Design and the Future of Power Delivery

Energy Efficient Circuit Design and the Future of Power Delivery Energy Efficient Circuit Design and the Future of Power Delivery Greg Taylor EPEPS 2009 Outline Looking back Energy efficiency in CMOS Side effects Suggestions Conclusion 2 Looking Back Microprocessor

More information

IF ID EX MEM WB 400 ps 225 ps 350 ps 450 ps 300 ps

IF ID EX MEM WB 400 ps 225 ps 350 ps 450 ps 300 ps CSE 30321 Computer Architecture I Fall 2011 Homework 06 Pipelined Processors 75 points Assigned: November 1, 2011 Due: November 8, 2011 PLEASE DO THE ASSIGNMENT ON THIS HANDOUT!!! Problem 1: (15 points)

More information

Midterm: In Perspective

Midterm: In Perspective Undertanding and Meauring Speedup Lat Time» Midterm Exam Today» Midterm Summary» Definition of Speedup» Meauring Speedup Reminder/Announcement» New Homework #3 will be out oon (tomorrow?)» Midterm Exam

More information

CS420/520 Computer Architecture I

CS420/520 Computer Architecture I CS42/52 Computer rchitecture I Designing a Pipeline Processor (C4: ppendix ) Dr. Xiaobo Zhou Department of Computer Science CS42/52 pipeline. UC. Colorado Springs dapted from UCB97 & UCB3 Branch Jump Recap:

More information

Wave Inspector Navigation and Search: Simplifying Waveform Analysis

Wave Inspector Navigation and Search: Simplifying Waveform Analysis Wave Inspector Navigation and Search: Simplifying Waveform Analysis Our thanks to Tektronix for allowing us to reprint the following article. Introduction As Moore s Law pushes electronic technology faster,

More information

IBM Research Report. Characterizing the Impact of Different Memory-Intensity Levels. Ramakrishna Kotla University of Texas at Austin

IBM Research Report. Characterizing the Impact of Different Memory-Intensity Levels. Ramakrishna Kotla University of Texas at Austin RC23351 (W49-168) September 28, 24 Computer Science IBM Research Report Characterizing the Impact of Different Memory-Intensity Levels Ramakrishna Kotla University of Texas at Austin Anirudh Devgan, Soraya

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

Pipelined Processor Design

Pipelined Processor Design Pipelined Processor Design COE 38 Computer Architecture Prof. Muhamed Mudawar Computer Engineering Department King Fahd University of Petroleum and Minerals Presentation Outline Pipelining versus Serial

More information

GPU-accelerated SDR Implementation of Multi-User Detector for Satellite Return Links

GPU-accelerated SDR Implementation of Multi-User Detector for Satellite Return Links DLR.de Chart 1 GPU-accelerated SDR Implementation of Multi-User Detector for Satellite Return Links Chen Tang chen.tang@dlr.de Institute of Communication and Navigation German Aerospace Center DLR.de Chart

More information

Power of Realtime 3D-Rendering. Raja Koduri

Power of Realtime 3D-Rendering. Raja Koduri Power of Realtime 3D-Rendering Raja Koduri 1 We ate our GPU cake - vuoi la botte piena e la moglie ubriaca And had more too! 16+ years of (sugar) high! In every GPU generation More performance and performance-per-watt

More information

Deadline scheduling: can your mobile device last longer?

Deadline scheduling: can your mobile device last longer? Deadline scheduling: can your mobile device last longer? Juri Lelli, Mario Bambagini, Giuseppe Lipari Linux Plumbers Conference 202 San Diego (CA), USA, August 3 TeCIP Insitute, Scuola Superiore Sant'Anna

More information

1) Fixed point [15 points] a) What are the primary reasons we might use fixed point rather than floating point? [2]

1) Fixed point [15 points] a) What are the primary reasons we might use fixed point rather than floating point? [2] 473 Fall 2018 Homework 2 Answers Due on Gradescope by 5pm on December 11 th. 165 points. Notice that the last problem is a group assignment (groups of 2 or 3). Digital Signal Processing and other specialized

More information

Lecture 4: Introduction to Pipelining

Lecture 4: Introduction to Pipelining Lecture 4: Introduction to Pipelining Pipelining Laundry Example Ann, Brian, Cathy, Dave each have one load of clothes to wash, dry, and fold Washer takes 30 minutes A B C D Dryer takes 40 minutes Folder

More information

High Performance Computing for Engineers

High Performance Computing for Engineers High Performance Computing for Engineers David Thomas dt10@ic.ac.uk / https://github.com/m8pple Room 903 http://cas.ee.ic.ac.uk/people/dt10/teaching/2014/hpce HPCE / dt10/ 2015 / 0.1 High Performance Computing

More information

An Efficient Low Power and High Speed carry select adder using D-Flip Flop

An Efficient Low Power and High Speed carry select adder using D-Flip Flop Journal From the SelectedWorks of Journal April, 2016 An Efficient Low Power and High Speed carry select adder using D-Flip Flop Basavva Mailarappa Konnur M. Sharanabasappa This work is licensed under

More information

Serial Addition. Lecture 29 1

Serial Addition. Lecture 29 1 Serial Addition Operations in digital computers are usually done in parallel because that is a faster mode of operation. Serial operations are slower because a datapath operation takes several clock cycles,

More information

Linear Integrated Circuits

Linear Integrated Circuits Linear Integrated Circuits Single Slope ADC Comparator checks input voltage with integrated reference voltage, V REF At the same time the number of clock cycles is being counted. When the integrator output

More information

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3 EE141 Fall 2005 Lecture 2 Design Metrics Admin Page Everyone should have a UNIX account on Cory! This will allow you to run HSPICE! If you do not have an account, check: http://www-inst.eecs.berkeley.edu/usr/

More information

HARDWARE ACCELERATION OF THE GIPPS MODEL

HARDWARE ACCELERATION OF THE GIPPS MODEL HARDWARE ACCELERATION OF THE GIPPS MODEL FOR REAL-TIME TRAFFIC SIMULATION Salim Farah 1 and Magdy Bayoumi 2 The Center for Advanced Computer Studies, University of Louisiana at Lafayette, USA 1 snf3346@cacs.louisiana.edu

More information

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective Overview of Design Methodology Lecture 1 Put things into perspective ECE 156A 1 A Few Points Before We Start ECE 156A 2 All About Handling The Complexity Design and manufacturing of semiconductor products

More information

Trace Based Switching For A Tightly Coupled Heterogeneous Core

Trace Based Switching For A Tightly Coupled Heterogeneous Core Trace Based Switching For A Tightly Coupled Heterogeneous Core Shru% Padmanabha, Andrew Lukefahr, Reetuparna Das, Sco@ Mahlke Micro- 46 December 2013 University of Michigan Electrical Engineering and Computer

More information

MODEL AND MODEL PULSE/PATTERN GENERATORS

MODEL AND MODEL PULSE/PATTERN GENERATORS AS TEE MODEL 12010 AND MODEL 12020 PULSE/PATTERN GENERATORS Features: 1.6GHz or 800MHz Models Full Pulse and Pattern Generator Capabilities Programmable Patterns o User Defined o 16Mbit per channel o PRBS

More information

ELLIPTIC curve cryptography (ECC) was proposed by

ELLIPTIC curve cryptography (ECC) was proposed by IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 High-Speed and Low-Latency ECC Processor Implementation Over GF(2 m ) on FPGA ZiaU.A.Khan,Student Member, IEEE, and Mohammed Benaissa,

More information