Hype Cycle for Semiconductors, 2003

Size: px
Start display at page:

Download "Hype Cycle for Semiconductors, 2003"

Transcription

1 J. Tully, P. Middleton, R. Ball, P. O'Donovan, S. Bruederle Strategic Analysis Report 30 May 2003 Hype Cycle for Semiconductors, 2003 New semiconductor technologies will achieve widespread usage in the next decade. This will fuel new waves of growth in the semiconductor industry. Management Summary The Hype Cycle for Semiconductors, 2003 charts the evolution of 19 technologies from early-stage research to mass-market use. These include a selection of fundamental transistor and logic devices, process technologies, device architectures and design technologies. All of these technologies enable higher-level system functions. In the next decade, semiconductors will extend beyond the horizons of bulk complementary metal-oxide semiconductor (CMOS) technology, as charted by Moore's Law, into molecule-based devices. In the longer term, devices are likely to become unrecognizable from today's chips. Nanotechnologies based on semiconducting molecules and protein-dna structures (perhaps selfpowered) could transform the semiconductor industry. One thing is clear: Semiconductor and related technologies will continue to be the underlying enabler of IT and electronic products for a number of years to come. Gartner Reproduction of this publication in any form without prior written permission is forbidden. The information contained herein has been obtained from sources believed to be reliable. Gartner disclaims all warranties as to the accuracy, completeness or adequacy of such information. Gartner shall have no liability for errors, omissions or inadequacies in the information contained herein or for interpretations thereof. The reader assumes sole responsibility for the selection of these materials to achieve its intended results. The opinions expressed herein are subject to change without notice.

2 30 May

3 CONTENTS 1.0 The Hype Cycle On the Rise Protein-DNA Logic Carbon Nanotubes Optical Packet Switching Magnetoresistive Random Access Memory Network on Chip At the Peak Micro Fuel Cells Inkjet Processes Electronic System Level Design (Tools and Methodology) Embedded Programmable Logic Light-Emitting Polymers Organic Light-Emitting Diodes Sliding Into the Trough Reconfigurable Hardware Radio-Frequency Complementary Metal-Oxide Semiconductor Ferroelectric RAM Microelectromechanical Systems Climbing the Slope Semiconductor Intellectual Property Silicon Germanium Devices Entering the Plateau Dense Wave Division Multiplexing System on Chip Conclusion...11 Appendix A:Hype Cycle Definitions...12 Appendix B:Acronym Key May

4 FIGURES Figure 1. Hype Cycle for Semiconductors, May

5 1.0 The Hype Cycle Visibility Electronic System- Level Design (Tools and Methodology) Inkjet Processes Micro Fuel Cells Network on Chip Embedded Programmable Logic Light-Emitting Polymers Organic Light-Emitting Diodes Reconfigurable Hardware Key: Time to Plateau Less than two years Twotofiveyears Five to 10 years More than 10 years MRAM RF CMOS Dense Wave Division Multiplexing System on Chip Optical Packet Switching Carbon Nanotubes Ferroelectric RAM Microelectromechanical Systems Silicon Germanium Devices Semiconductor Intellectual Property Protein-DNA Logic As of May 2003 Technology Trigger Peak of Inflated Expectations Trough of Disillusionment Slope of Enlightenment Plateau of Productivity Maturity Acronym Key MRAM Magnetoresistive random access memory RF CMOS Radio frequency complementary metal-oxide semiconductor Source: Gartner Research (May 2003) Figure 1. Hype Cycle for Semiconductors, On the Rise 2.1 Protein-DNA Logic Definition: Logic operations based on the properties of DNA molecules. Time to Plateau/Adoption Speed: More than 10 years. Justification for Hype Cycle Position/Adoption Speed: Research is at an early stage. State machines have been shown in theory. Techniques and commercial potential are unclear. Business Impact Areas: Potential for very high computing performance in small physical space with extremely low energy requirements. 2.2 Carbon Nanotubes Definition: Tiny cylinders of carbon atoms, as small as 10 atoms in diameter. These can have insulating, conducting and semiconducting properties. 30 May

6 Time to Plateau/Adoption Speed: More than 10 years. Justification for Hype Cycle Position/Adoption Speed: Still at the early research stage. Individual nanotubes can be readily fabricated, but major problems remain in their interconnection and in the fabrication of transistor arrays. Business Impact Areas: Potentially huge impact mainly for the period when silicon devices have reached their minimum size limits in 10 to 15 years. Selected Vendors: IBM. 2.3 Optical Packet Switching Definition: The switching of subwavelength optical signals, including "de-multiplexing" and multiplexing, entirely in the optical domain. Time to Plateau/Adoption Speed: Five to 10 years. Justification for Hype Cycle Position/Adoption Speed: Requires a lot more development. Optical switching between fibers is reasonably established but it requires a conversion to the electrical domain for multiplexing and de-multiplexing. Business Impact Areas: Requires much more development. The technology for optical switching wavelengths between fibers is reasonably established. Multiplexing and de-multiplexing signals, whether on or off a single wavelength, still require processing in the electrical domain. Selected Vendors: JDS Uniphase and Vitesse Semiconductor. Analysis by Peter Middleton 2.4 Magnetoresistive Random Access Memory Definition: Pioneered by IBM and Motorola, magnetoresistive random access memory (MRAM) is a fast, low-power, nonvolatile memory technology. It will be the first integrated circuit based on the magnetic properties of atomic spin. Time to Plateau/Adoption Speed: Five to 10 years. Justification for Hype Cycle Position/Adoption Speed: MRAM will begin breaking into the flash market within the next two years. In the next five to 10 years, it has the potential to displace dynamic RAM in a number of applications. Business Impact Areas: All memory-driven applications. Initially, personal electronic devices later, PCs. Selected Vendors: IBM and Motorola. 2.5 Network on Chip Definition: On-chip distributed computing resources that are configured as a computer network. Time to Plateau/Adoption Speed: Five to 10 years. 30 May

7 Justification for Hype Cycle Position/Adoption Speed: At sub-60 nanometer (nm) process dimensions, increased electrical noise and cross talk will render on-chip bus structures useless. Computing resources will need to be interconnected by an Internet-style network with an appropriate error-correction protocol. Business Impact Areas: High impact across all applications. Selected Vendors: IMEC and Intel. 3.0 At the Peak 3.1 Micro Fuel Cells Definition: An alternative power source to batteries for mobile devices. They have the potential to provide 10 times the energy of lithium ion batteries (see "Micro Fuel Cells Power Mobile Devices," T ). Time to Plateau/Adoption Speed: Five to 10 years. Justification for Hype Cycle Position/Adoption Speed: Working prototypes are available. Large manufacturers are aiming for commercialization by 2005; others are partnering with device manufacturers. Business Impact Areas: Equipment usage life. Facilitates more-powerful mobile devices and applications. Selected Vendors: Casio, NEC, Sony and Toshiba. Analysis by Rafe Ball and Jim Tully 3.2 Inkjet Processes Definition: Depositing transistors onto a flexible substrate using an inkjet-style process. Time to Plateau/Adoption Speed: Five to 10 years. Justification for Hype Cycle Position/Adoption Speed: Inkjet processes were initially used in the assembly of traditional semiconductor dies for large-screen display and solar cell applications. Later developments will involve inkjet-type processes in which polymer transistors are directly printed onto substrates. Business Impact Areas: Applications in woven clothing and radio frequency identification tag applications. Selected Vendors: Alien Technology, CTD Technologies and Philips Semiconductors. 3.3 Electronic System Level Design (Tools and Methodology) Definition: Software tools and methods for the high-level definition of a complete system (hardware and software). The tools should generate individual hardware and software descriptions for implementation by lower-level tools. Time to Plateau/Adoption Speed: Twotofiveyears. Justification for Hype Cycle Position/Adoption Speed: Many attempts have been made to provide electronic-system-level design tools. However, these attempts have largely failed to generate software descriptions at the appropriate levels. Business Impact Areas: All applications. 30 May

8 Selected Vendors: Cadence Design Systems, Mentor Graphics and Synopsys. 3.4 Embedded Programmable Logic Definition: Programmable logic blocks that are embedded within a semiconductor device such as an application-specific integrated circuit or an application-specific standard product. Time to Plateau/Adoption Speed: Twotofiveyears. Justification for Hype Cycle Position/Adoption Speed: Very few vendors are developing embedded programmable logic. The adoption is constrained by the availability of architectural exploration and similar tools to make use of this technology. Business Impact Areas: High impact. Will potentially enable devices to be designed for use in a wider range of applications, due to the flexibility of the programmable logic. 3.5 Light-Emitting Polymers Definition: Light-emitting polymers (LEPs) are based on long-chain polymers that fluoresce when a current is applied. Using inkjet technologies, LEPs can be "printed" onto practically any substrate to form a display of light-emitting pixels. Time to Plateau/Adoption Speed: Twotofiveyears. Justification for Hype Cycle Position/Adoption Speed: The technology of LEPs is still in development. The life of some colors is limited, making large-screen applications impractical. Business Impact Areas: The potential for applications using ultra-thin, low-voltage and potentially flexible displays is enormous. Selected Vendors: CDT Technologies and Philips Semiconductors. Analysis by Paul O'Donovan and Jim Tully 3.6 Organic Light-Emitting Diodes Definition: Organic light-emitting diodes (OLEDs) use short-chain molecules that are vacuum deposited onto a transparent substrate, such as glass. Applying low voltage across the matrix stimulates each pixel to transmit light. OLEDs require no backlight (unlike liquid crystal displays), so power consumption is low. Time to Plateau/Adoption Speed: Twotofiveyears. Justification for Hype Cycle Position/Adoption Speed: Further development is required for longer-lasting colors and full-motion video. Business Impact Areas: Low-voltage, full-color displays will have a significant impact in mobile electronics. Selected Vendors: CTD Technologies and Philips Semiconductors. Analysis by Paul O'Donovan and Jim Tully 30 May

9 4.0 Sliding Into the Trough 4.1 Reconfigurable Hardware Definition: The ability of a semiconductor device to perform different functions at different times not through software changes, but rather by reconfiguring the hardware. Time to Plateau/Adoption Speed: Five to 10 years. Justification for Hype Cycle Position/Adoption Speed: A lack of tools is the main reason for the long time to adoption. May use embedded programmable logic. Business Impact Areas: High impact. However, users don't know how they would handle reconfigurability at this time. 4.2 Radio-Frequency Complementary Metal-Oxide Semiconductor Definition: Using standard complementary metal-oxide semiconductors (CMOSs) for microwave radio frequency (RF) applications of 5GHz and above. Justification for Hype Cycle Position/Adoption Speed: Some vendors are already offering CMOS-receive functions at these frequencies. Business Impact Areas: High impact. Will eliminate the need for different processes, such as bipolar complementary metal-oxide semiconductor (BiCMOS) and gallium arsenide, thereby reducing costs and chip counts. 4.3 Ferroelectric RAM Definition: A nonvolatile memory with high write speed that is based on arrays of ferroelectric crystals. Justification for Hype Cycle Position/Adoption Speed: It is already available. Business Impact Areas: Moderate impact across a wide range of applications. 4.4 Microelectromechanical Systems Definition: Semiconductor devices incorporating structures that can physically move, in addition to electronic circuits. Justification for Hype Cycle Position/Adoption Speed: Some applications are already in widespread use (for example, airbag accelerometers, inkjet nozzles). Business Impact Areas: High impact across a wide range of applications. Significant long-term impact for biomedical applications. 30 May

10 5.0 Climbing the Slope 5.1 Semiconductor Intellectual Property Definition: Pre-designed functional blocks that are licensed for use in a chip. Could be microprocessors, memory or many other functions. Justification for Hype Cycle Position/Adoption Speed: Already widely used in system on chip (SOC) devices. The industry still has trouble finding the optimum business model for intellectual property. Business Impact Areas: High impact. Complex SOC devices can't be designed without a large-scale use of intellectual property blocks. Selected Vendors: ARM, Rambus and Synopsys. 5.2 Silicon Germanium Devices Definition: Heterjunction BiCMOS technology made by adding germanium to the base of the bipolar transistor. Justification for Hype Cycle Position/Adoption Speed: Becoming widely used in mobile phones, fiber-optic communications and test equipment. Business Impact Areas: Moderate impact, mostly in wireless and fiber-optic transceiver functions in the previously listed applications. Selected Vendors: Atmel, IBM, Infineon Technologies, Maxim Integrated Products, Motorola and Texas Instruments. Analysis by Stanley Bruederle 6.0 Entering the Plateau 6.1 Dense Wave Division Multiplexing Definition: An optical-fiber-encoding technology that enables communication signals on several wavelengths to be transmitted simultaneously on a single fiber. Justification for Hype Cycle Position/Adoption Speed: Established use in optical transport networks worldwide. Business Impact Areas: Significantly increases bandwidth over time division multiplexing systems. Selected Vendors: Alcatel, Cisco Systems, Lucent and Nortel Networks. Analysis by Peter Middleton 30 May

11 6.2 System on Chip Definition: A device containing compute engine, memory and user logic on a single chip. Justification for Hype Cycle Position/Adoption Speed: Widely used in many applications such as mobile phone handsets, video game consoles, digital video, graphics and storage. Business Impact Areas: High impact. Product cost and performance requirements could not be achieved without SOC. Selected Vendors: IBM, Intel, STMicroelectronics and Texas Instruments. 7.0 Conclusion Semiconductor technology is changing fast. Many new technologies will become feasible and achieve widespread usage in the next several years. Enterprises should be aware of the opportunities and risks that these changes present. 30 May

12 Appendix A: Hype Cycle Definitions Technology Trigger: A breakthrough, public demonstration, product launch or other event generates significant press and industry interest. Peak of Inflated Expectations: During this phase of overenthusiasm and unrealistic projections, a flurry of well-publicized activity by technology leaders results in some successes, but more failures, as the technology is pushed to its limits. The only enterprises making money are conference organizers and magazine publishers. Trough of Disillusionment: Because the technology does not live up to its overinflated expectations, it rapidly becomes unfashionable. Media interest wanes, except for a few cautionary tales. Slope of Enlightenment: Focused experimentation and solid hard work by an increasingly diverse range of organizations lead to a true understanding of the technology's applicability, risks and benefits. Commercial, off-the-shelf methodologies and tools ease the development process. Plateau of Productivity: The real-world benefits of the technology are demonstrated and accepted. Tools and methodologies are increasingly stable as they enter their second and third generations. The final height of the plateau varies according to whether the technology is broadly applicable or benefits only a niche market. Approximately 30 percent of the technology's target audience has or is adopting the technology as it enters the Plateau. Time to Plateau/Adoption Speed: The time required for the technology to reach the Plateau of Productivity. 30 May

13 Appendix B: Acronym Key BiCMOS CMOS LEP MRAM OLED RF SOC bipolar CMOS complementary metal-oxide semiconductor light-emitting polymers magnetoresistive RAM organic light-emitting diode radio frequency system on chip 30 May

Hype Cycle for Advanced Analytics, 2003

Hype Cycle for Advanced Analytics, 2003 A. Linden, J. Fenn Strategic Analysis Report 30 May 2003 Hype Cycle for Advanced Analytics, 2003 Analytics is a vast space with broad applicability in many different business areas. To assess the maturity

More information

Update: SOI Wafer Market Continues Its Growth

Update: SOI Wafer Market Continues Its Growth Gartner Dataquest Alert Update: SOI Wafer Market Continues Its Growth The results of Gartner Dataquest's latest survey of the silicon on insulator (SOI) wafer market indicate demand grew 16 percent in

More information

Power Management Semiconductors: A Preliminary Look

Power Management Semiconductors: A Preliminary Look Market Analysis Power Management Semiconductors: A Preliminary Look Abstract: As a key enabler of electronics, power management semiconductors remain fragmented. Benefit from a look into the preliminary

More information

Introduction to Electronic Devices

Introduction to Electronic Devices (Course Number 300331) Fall 2006 Instructor: Dr. Dietmar Knipp Assistant Professor of Electrical Engineering Information: http://www.faculty.iubremen.de/dknipp/ Source: Apple Ref.: Apple Ref.: IBM Critical

More information

India: The Future Looks Promising

India: The Future Looks Promising India: The Future Looks Promising Research Brief Abstract: India has the potential to become a globally competitive electronics equipment and semiconductor manufacturing location. By Philip Koh Recommendations

More information

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir Parallel Computing 2020: Preparing for the Post-Moore Era Marc Snir THE (CMOS) WORLD IS ENDING NEXT DECADE So says the International Technology Roadmap for Semiconductors (ITRS) 2 End of CMOS? IN THE LONG

More information

MICROPROCESSOR TECHNOLOGY

MICROPROCESSOR TECHNOLOGY MICROPROCESSOR TECHNOLOGY Assis. Prof. Hossam El-Din Moustafa Lecture 3 Ch.1 The Evolution of The Microprocessor 17-Feb-15 1 Chapter Objectives Introduce the microprocessor evolution from transistors to

More information

Si Nano-Photonics Innovate Next Generation Network Systems and LSI Technologies

Si Nano-Photonics Innovate Next Generation Network Systems and LSI Technologies Si Nano-Photonics Innovate Next Generation Network Systems and LSI Technologies NISHI Kenichi, URINO Yutaka, OHASHI Keishi Abstract Si nanophotonics controls light by employing a nano-scale structural

More information

Embedded Sensors. We can offer you complete solutions for intelligent integrated sensor systems.

Embedded Sensors. We can offer you complete solutions for intelligent integrated sensor systems. FRAUNHOFER-Institute For integrated Circuits IIS INTEGRATED CIRCUITS AND SYSTEMS ICS FROM AN IDEA TO A FINISHED PRODUCT WE ARE: CUSTOMER- ORIENTED PROFESSIONAL TIME-TO-MARKET- FOCUSED NETWORKED WE OFFER:

More information

European Enterprises Should Delay a Deployment

European Enterprises Should Delay a Deployment Strategic Planning, S. Real Research Note 3 April 2003 European Enterprises Should Delay 802.11a Deployment Inconsistent regulations and an immature standard mean enterprises should not deploy 802.11a

More information

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family From Sand to Silicon Making of a Chip Illustrations 32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family April 2011 1 The illustrations on the following foils are low resolution

More information

Lecture 1, Introduction and Background

Lecture 1, Introduction and Background EE 338L CMOS Analog Integrated Circuit Design Lecture 1, Introduction and Background With the advances of VLSI (very large scale integration) technology, digital signal processing is proliferating and

More information

4Q02 Update: Semiconductor Capacity Still on Hold

4Q02 Update: Semiconductor Capacity Still on Hold Research Brief 4Q02 Update: Semiconductor Capacity Still on Hold Abstract: Semiconductor capacity expansions have gone into a hold mode as soft semiconductor demand drops utilization rates lower. Further

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016 MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016 A*STAR S IME KICKS OFF CONSORTIA TO DEVELOP ADVANCED PACKAGING SOLUTIONS FOR NEXT-GENERATION INTERNET OF THINGS APPLICATIONS AND HIGH-PERFORMANCE WIRELESS

More information

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY S. M. SZE National Chiao Tung University Hsinchu, Taiwan And Stanford University Stanford, California ELECTRONIC AND SEMICONDUCTOR INDUSTRIES

More information

Nanotechnology, the infrastructure, and IBM s research projects

Nanotechnology, the infrastructure, and IBM s research projects Nanotechnology, the infrastructure, and IBM s research projects Dr. Paul Seidler Coordinator Nanotechnology Center, IBM Research - Zurich Nanotechnology is the understanding and control of matter at dimensions

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

Markets for On-Chip and Chip-to-Chip Optical Interconnects 2015 to 2024 January 2015

Markets for On-Chip and Chip-to-Chip Optical Interconnects 2015 to 2024 January 2015 Markets for On-Chip and Chip-to-Chip Optical Interconnects 2015 to 2024 January 2015 Chapter One: Introduction Page 1 1.1 Background to this Report CIR s last report on the chip-level optical interconnect

More information

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS Charlie Jenkins, (Altera Corporation San Jose, California, USA; chjenkin@altera.com) Paul Ekas, (Altera Corporation San Jose, California, USA; pekas@altera.com)

More information

Silicon Wafer Demand Outlook: Forecast Update, 2Q03

Silicon Wafer Demand Outlook: Forecast Update, 2Q03 Research Brief Silicon Wafer Demand Outlook: Forecast Update, 2Q03 Abstract: The latest silicon wafer demand outlook predicts about 6 percent growth in 2003, nearly the same as the previous forecast. However,

More information

Imaging serial interface ROM

Imaging serial interface ROM Page 1 of 6 ( 3 of 32 ) United States Patent Application 20070024904 Kind Code A1 Baer; Richard L. ; et al. February 1, 2007 Imaging serial interface ROM Abstract Imaging serial interface ROM (ISIROM).

More information

National Centre for Flexible Electronics

National Centre for Flexible Electronics National Centre for Flexible Electronics Tripartite Partnership Government FlexE Centre - A platform for a meaningful interaction between industry and academia. An interdisciplinary team that advances

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing The Intel Lithography Roadmap A compiled version of all papers from this issue

More information

Image sensor combining the best of different worlds

Image sensor combining the best of different worlds Image sensors and vision systems Image sensor combining the best of different worlds First multispectral time-delay-and-integration (TDI) image sensor based on CCD-in-CMOS technology. Introduction Jonathan

More information

PBL Challenge: DNA Microarray Fabrication Boston University Photonics Center

PBL Challenge: DNA Microarray Fabrication Boston University Photonics Center PBL Challenge: DNA Microarray Fabrication Boston University Photonics Center Boston University graduate students need to determine the best starting exposure time for a DNA microarray fabricator. Photonics

More information

Intel s Breakthrough in High-K Gate Dielectric Drives Moore s Law Well into the Future

Intel s Breakthrough in High-K Gate Dielectric Drives Moore s Law Well into the Future Page 1 Intel s Breakthrough in High-K Gate Dielectric Drives Moore s Law Well into the Future Robert S. Chau Intel Fellow, Technology and Manufacturing Group Director, Transistor Research Intel Corporation

More information

MEMS in ECE at CMU. Gary K. Fedder

MEMS in ECE at CMU. Gary K. Fedder MEMS in ECE at CMU Gary K. Fedder Department of Electrical and Computer Engineering and The Robotics Institute Carnegie Mellon University Pittsburgh, PA 15213-3890 fedder@ece.cmu.edu http://www.ece.cmu.edu/~mems

More information

Energy & Space. International Presentations

Energy & Space. International Presentations Energy & Space International Presentations 2012-2013 Advanced Electronics 3D Printed Circuit Boards 3D Printed Circuit Boards for Solder-Free Printable Electronics 4x4 Vehicles Arduino WiFi Android Controllers

More information

Semiconductor Devices

Semiconductor Devices Semiconductor Devices - 2014 Lecture Course Part of SS Module PY4P03 Dr. P. Stamenov School of Physics and CRANN, Trinity College, Dublin 2, Ireland Hilary Term, TCD 3 th of Feb 14 MOSFET Unmodified Channel

More information

From Sand to Silicon Making of a Chip Illustrations May 2009

From Sand to Silicon Making of a Chip Illustrations May 2009 From Sand to Silicon Making of a Chip Illustrations May 2009 1 The illustrations on the following foils are low resolution images that visually support the explanations of the individual steps. For publishing

More information

JESD204A for wireless base station and radar systems

JESD204A for wireless base station and radar systems for wireless base station and radar systems November 2010 Maury Wood- NXP Semiconductors Deepak Boppana, an Land - Altera Corporation 0.0 ntroduction - New trends for wireless base station and radar systems

More information

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA Fab-in in-a-box: Direct-write write-nanocircuits Jaebum Joo and Joseph M. Jacobson Massachusetts Institute of Technology, Cambridge, MA April 17, 2008 Avogadro Scale Computing / 1 Avogadro number s? Intel

More information

22. VLSI in Communications

22. VLSI in Communications 22. VLSI in Communications State-of-the-art RF Design, Communications and DSP Algorithms Design VLSI Design Isolated goals results in: - higher implementation costs - long transition time between system

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

Energy Efficient Transmitters for Future Wireless Applications

Energy Efficient Transmitters for Future Wireless Applications Energy Efficient Transmitters for Future Wireless Applications Christian Fager christian.fager@chalmers.se C E N T R E Microwave Electronics Laboratory Department of Microtechnology and Nanoscience Chalmers

More information

Silicon Wafer Demand Forecast Update, 4Q03

Silicon Wafer Demand Forecast Update, 4Q03 Forecast Analysis Silicon Wafer Demand Forecast Update, 4Q03 Abstract: Silicon wafer demand in 2003 will register an 8 percent increase over 2002. Demand will enter an expansion phase in the second quarter

More information

Lecture 1 Introduction to Solid State Electronics

Lecture 1 Introduction to Solid State Electronics EE 471: Transport Phenomena in Solid State Devices Spring 2018 Lecture 1 Introduction to Solid State Electronics Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology

More information

6.012 Microelectronic Devices and Circuits

6.012 Microelectronic Devices and Circuits MIT, Spring 2009 6.012 Microelectronic Devices and Circuits Charles G. Sodini Jing Kong Shaya Famini, Stephanie Hsu, Ming Tang Lecture 1 6.012 Overview Contents: Overview of 6.012 Reading Assignment: Howe

More information

SEMINAR ON PERSPECTIVES OF NANOTECHNOLOGY FOR RF AND TERAHERTZ ELECTRONICS. February 1, 2013

SEMINAR ON PERSPECTIVES OF NANOTECHNOLOGY FOR RF AND TERAHERTZ ELECTRONICS. February 1, 2013 SEMINAR ON PERSPECTIVES OF NANOTECHNOLOGY FOR RF AND TERAHERTZ ELECTRONICS February 1, 2013 GuideMr.Harikrishnan A.IAsst ProfessorANJUSEMINAR MICHAEL ONPERSPECTIVES (NSAJEEC013) OF NANOTECHNOLOGY FOR February

More information

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors Design for MOSIS Educational Program (Research) Transmission-Line-Based, Shared-Media On-Chip Interconnects for Multi-Core Processors Prepared by: Professor Hui Wu, Jianyun Hu, Berkehan Ciftcioglu, Jie

More information

Lithography in our Connected World

Lithography in our Connected World Lithography in our Connected World SEMI Austin Spring Forum TOP PAN P R INTING CO., LTD MATER IAL SOLUTIONS DIVISION Toppan Printing Co., LTD A Broad-Based Global Printing Company Foundation: January 17,

More information

Putting It All Together: Computer Architecture and the Digital Camera

Putting It All Together: Computer Architecture and the Digital Camera 461 Putting It All Together: Computer Architecture and the Digital Camera This book covers many topics in circuit analysis and design, so it is only natural to wonder how they all fit together and how

More information

Indicators Point to Sustainable Semiconductor Market Recovery

Indicators Point to Sustainable Semiconductor Market Recovery Gartner Dataquest Alert Indicators Point to Sustainable Semiconductor Market Recovery Positive leading indicators out of Asia/Pacific and Japan, as well as improved guidance from selected U.S. and European

More information

CS302 - Digital Logic Design Glossary By

CS302 - Digital Logic Design Glossary By CS302 - Digital Logic Design Glossary By ABEL : Advanced Boolean Expression Language; a software compiler language for SPLD programming; a type of hardware description language (HDL) Adder : A digital

More information

Integrated Circuit Technology (Course Code: EE662) Lecture 1: Introduction

Integrated Circuit Technology (Course Code: EE662) Lecture 1: Introduction Indian Institute of Technology Jodhpur, Year 2015 2016 Integrated Circuit Technology (Course Code: EE662) Lecture 1: Introduction Course Instructor: Shree Prakash Tiwari, Ph.D. Email: sptiwari@iitj.ac.in

More information

NSN W-CDMA/LTE 2100MHz Remote Radio Unit 210W (3 x 70W) A.101 Model FRGP B1. April 2014

NSN W-CDMA/LTE 2100MHz Remote Radio Unit 210W (3 x 70W) A.101 Model FRGP B1. April 2014 DesigN Analysis - Infrastructure Earl J. Lum +1-650-430-2221 elum@ejlwireless.com NSN W-CDMA/LTE 2100MHz Remote Radio Unit 210W (3 x 70W) 084629A.101 Model FRGP B1 April 2014 Entire contents Reproduction

More information

CHAPTER 6 CARBON NANOTUBE AND ITS RF APPLICATION

CHAPTER 6 CARBON NANOTUBE AND ITS RF APPLICATION CHAPTER 6 CARBON NANOTUBE AND ITS RF APPLICATION 6.1 Introduction In this chapter we have made a theoretical study about carbon nanotubes electrical properties and their utility in antenna applications.

More information

Selected Topics in Nanoelectronics. Danny Porath 2002

Selected Topics in Nanoelectronics. Danny Porath 2002 Selected Topics in Nanoelectronics Danny Porath 2002 Links to NST http://www.foresight.org/ http://itri.loyola.edu/nanobase/ http://www.zyvex.com/nano/ http://www.nano.gov/ http://www.aeiveos.com/nanotech/

More information

Design of an Integrated OLED Driver for a Modular Large-Area Lighting System

Design of an Integrated OLED Driver for a Modular Large-Area Lighting System Design of an Integrated OLED Driver for a Modular Large-Area Lighting System JAN DOUTRELOIGNE, ANN MONTÉ, JINDRICH WINDELS Center for Microsystems Technology (CMST) Ghent University IMEC Technologiepark

More information

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE This week announced updates to four systems the 2920 Series, Puma 9850, Surfscan SP5 and edr-7110 intended for defect inspection and review of 16/14nm node

More information

Measurement of Microscopic Three-dimensional Profiles with High Accuracy and Simple Operation

Measurement of Microscopic Three-dimensional Profiles with High Accuracy and Simple Operation 238 Hitachi Review Vol. 65 (2016), No. 7 Featured Articles Measurement of Microscopic Three-dimensional Profiles with High Accuracy and Simple Operation AFM5500M Scanning Probe Microscope Satoshi Hasumura

More information

Lecture Introduction

Lecture Introduction Lecture 1 6.012 Introduction 1. Overview of 6.012 Outline 2. Key conclusions of 6.012 Reading Assignment: Howe and Sodini, Chapter 1 6.012 Electronic Devices and Circuits-Fall 200 Lecture 1 1 Overview

More information

from the Photonics Dictionary at Photonics.com

from the Photonics Dictionary at Photonics.com Photonics term in listing The technology of generating and harnessing light and other forms of radiant energy whose quantum unit is the photon. The science includes light emission, transmission, deflection,

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Wireless Interference in Healthcare Is Real, but Manageable

Wireless Interference in Healthcare Is Real, but Manageable Technology, K. Kleinberg Research Note 19 March 2003 Wireless Interference in Healthcare Is Real, but Manageable Interference between wireless and electronic devices and medical equipment is a growing

More information

Emerging Non-Volatile Memories Patent Landscape February 2014

Emerging Non-Volatile Memories Patent Landscape February 2014 Emerging Non-Volatile Memories Patent Landscape February 2014 IBM University of Houston IBM Integrated Magneto Electronics Macronix IBM SanDisk 2405 route des Dolines 06902 Sophia Antipolis, France www.knowmade.com

More information

An Introduction to High-Frequency Circuits and Systems

An Introduction to High-Frequency Circuits and Systems An Introduction to High-Frequency Circuits and Systems 1 Outline The electromagnetic spectrum Review of market and technology trends Semiconductors industry Computers industry - signal integrity issues

More information

Memory (Part 1) RAM memory

Memory (Part 1) RAM memory Budapest University of Technology and Economics Department of Electron Devices Technology of IT Devices Lecture 7 Memory (Part 1) RAM memory Semiconductor memory Memory Overview MOS transistor recap and

More information

A Brief Introduction to Single Electron Transistors. December 18, 2011

A Brief Introduction to Single Electron Transistors. December 18, 2011 A Brief Introduction to Single Electron Transistors Diogo AGUIAM OBRECZÁN Vince December 18, 2011 1 Abstract Transistor integration has come a long way since Moore s Law was first mentioned and current

More information

Advances in Freescale Airfast RFICs Setting New Benchmarks in LDMOS for Macrocells through Small Cells

Advances in Freescale Airfast RFICs Setting New Benchmarks in LDMOS for Macrocells through Small Cells Freescale Semiconductor White Paper AIRFASTWBFWP Rev. 0, 5/2015 Advances in Freescale Airfast RFICs Setting New Benchmarks in LDMOS for Macrocells through Small Cells By: Margaret Szymanowski and Suhail

More information

Triple i - The key to your success

Triple i - The key to your success Triple i - The key to your success The needs and challenges of today s world are becoming ever more demanding. Standards are constantly rising. Creativity, reliability and high performance are basic prerequisites

More information

Visual & Virtual Configure-Price-Quote (CPQ) Report. June 2017, Version Novus CPQ Consulting, Inc. All Rights Reserved

Visual & Virtual Configure-Price-Quote (CPQ) Report. June 2017, Version Novus CPQ Consulting, Inc. All Rights Reserved Visual & Virtual Configure-Price-Quote (CPQ) Report June 2017, Version 2 2017 Novus CPQ Consulting, Inc. All Rights Reserved Visual & Virtual CPQ Report As of April 2017 About this Report The use of Configure-Price-Quote

More information

Practical Information

Practical Information EE241 - Spring 2010 Advanced Digital Integrated Circuits TuTh 3:30-5pm 293 Cory Practical Information Instructor: Borivoje Nikolić 550B Cory Hall, 3-9297, bora@eecs Office hours: M 10:30am-12pm Reader:

More information

3Q03 Silicon Wafer Update: Demand Continues Recovery

3Q03 Silicon Wafer Update: Demand Continues Recovery Gartner Dataquest Alert 3Q03 Silicon Wafer Update: Demand Continues Recovery The latest silicon forecast indicates that silicon wafer demand will grow 10 percent in 2003 over the previous year. This is

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

HOW TO CONTINUE COST SCALING. Hans Lebon

HOW TO CONTINUE COST SCALING. Hans Lebon HOW TO CONTINUE COST SCALING Hans Lebon OUTLINE Scaling & Scaling Challenges Imec Technology Roadmap Wafer size scaling : 450 mm 2 COST SCALING IMPROVED PERFORMANCE 3 GLOBAL TRAFFIC FORECAST Cloud Traffic

More information

LEMNIOV5.TXT. Title: The Next DARPA Revolution: Integrated Microsystems Zachary Lemnios

LEMNIOV5.TXT. Title: The Next DARPA Revolution: Integrated Microsystems Zachary Lemnios Title: The Next DARPA Revolution: Integrated Microsystems Zachary Lemnios The Next DARPA Revolution: Integrated MicroSYSTEMS Zachary J. Lemnios, Director Microsystems Technology Office Defense Advanced

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

Exhibit 2 Declaration of Dr. Chris Mack

Exhibit 2 Declaration of Dr. Chris Mack STC.UNM v. Intel Corporation Doc. 113 Att. 5 Exhibit 2 Declaration of Dr. Chris Mack Dockets.Justia.com UNITED STATES DISTRICT COURT DISTRICT OF NEW MEXICO STC.UNM, Plaintiff, v. INTEL CORPORATION Civil

More information

Investigating the Electronic Behavior of Nano-materials From Charge Transport Properties to System Response

Investigating the Electronic Behavior of Nano-materials From Charge Transport Properties to System Response Investigating the Electronic Behavior of Nano-materials From Charge Transport Properties to System Response Amit Verma Assistant Professor Department of Electrical Engineering & Computer Science Texas

More information

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated Objectives History and road map of integrated circuits Application specific integrated circuits Design flow and tasks Electric design automation tools ASIC project MSDAP In 1951 William Shockley developed

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

Integrated Sensors. David Cumming Department of Electronics and Electrical Engineering University of Glasgow

Integrated Sensors. David Cumming Department of Electronics and Electrical Engineering University of Glasgow Integrated Sensors David Cumming Department of Electronics and Electrical Engineering University of Glasgow Outline Microelectronics Medical Devices Sensing-system-on-chip Extracellular ion imaging Cheap

More information

More specifically, I would like to talk about Gallium Nitride and related wide bandgap compound semiconductors.

More specifically, I would like to talk about Gallium Nitride and related wide bandgap compound semiconductors. Good morning everyone, I am Edgar Martinez, Program Manager for the Microsystems Technology Office. Today, it is my pleasure to dedicate the next few minutes talking to you about transformations in future

More information

1Q04 Update: Silicon Demand Will Move to a Full Recovery

1Q04 Update: Silicon Demand Will Move to a Full Recovery Gartner Dataquest Alert 1Q04 Update: Silicon Demand Will Move to a Full Recovery Our latest silicon demand forecast indicates that wafer demand in 2003 will increase 9 percent over 2002. While the forecast

More information

Chapter 19 Study Questions Name: Class:

Chapter 19 Study Questions Name: Class: Chapter 19 Study Questions Name: Class: Multiple Choice Identify the letter of the choice that best completes the statement or answers the question. 1. All electronic devices transmit information using

More information

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007 Packaging Roadmap: The impact of miniaturization Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007 The Challenges for the Next Decade Addressing the consumer experience using the converged

More information

Assoc. Prof. Dr. MONTREE SIRIPRUCHYANUN

Assoc. Prof. Dr. MONTREE SIRIPRUCHYANUN 1 Assoc. Prof. Dr. MONTREE SIRIPRUCHYANUN Dept. of Teacher Training in Electrical Engineering 1 King Mongkut s Institute of Technology North Bangkok 1929 Bulky, expensive and required high supply voltages.

More information

Aim. Unit abstract. Learning outcomes. QCF level: 6 Credit value: 15

Aim. Unit abstract. Learning outcomes. QCF level: 6 Credit value: 15 Unit T3: Microelectronics Unit code: A/503/7339 QCF level: 6 Credit value: 15 Aim The aim of this unit is to give learners an understanding of the manufacturing processes for and the purposes and limitations

More information

Introduction to Microdevices and Microsystems

Introduction to Microdevices and Microsystems PHYS 534 (Fall 2008) Module on Microsystems & Microfabrication Lecture 1 Introduction to Microdevices and Microsystems Srikar Vengallatore, McGill University 1 Introduction to Microsystems Outline of Lecture

More information

How material engineering contributes to delivering innovation in the hyper connected world

How material engineering contributes to delivering innovation in the hyper connected world How material engineering contributes to delivering innovation in the hyper connected world Paul BOUDRE, Soitec CEO Leti Innovation Days - July 2018 Grenoble, France We live in a world of data In perpetual

More information

An introduction to Depletion-mode MOSFETs By Linden Harrison

An introduction to Depletion-mode MOSFETs By Linden Harrison An introduction to Depletion-mode MOSFETs By Linden Harrison Since the mid-nineteen seventies the enhancement-mode MOSFET has been the subject of almost continuous global research, development, and refinement

More information

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies Mar 12, 2013 John Wawrzynek Spring 2013 EECS150 - Lec15-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies Feb 14, 2012 John Wawrzynek Spring 2012 EECS150 - Lec09-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

Semiconductors, ICs and Digital Fundamentals

Semiconductors, ICs and Digital Fundamentals Semiconductors, ICs and Digital Fundamentals The Diode The semiconductor phenomena. Diode performance with ac and dc currents. Diode types: General purpose LED Zener The Diode The semiconductor phenomena

More information

The Monolithic Radio Frequency Array & the Coming Revolution of Convergence

The Monolithic Radio Frequency Array & the Coming Revolution of Convergence DARPATech, DARPA s 25 th Systems and Technology Symposium August 7, 2007 Anaheim, California Teleprompter Script for Dr. Mark Rosker, Program Manager, Microsystems Technology Office The Monolithic Radio

More information

LOGIC FAMILY LOGIC FAMILY

LOGIC FAMILY LOGIC FAMILY In computer engineering, a logic family may refer to one of two related concepts. A logic family of monolithic digital integrated circuit devices is a group of electronic logic gates constructed using

More information

Careers in Electronics Using a Calculator Safety Precautions Dc Circuits p. 1 Fundamentals of Electricity p. 3 Matter, Elements, and Compounds p.

Careers in Electronics Using a Calculator Safety Precautions Dc Circuits p. 1 Fundamentals of Electricity p. 3 Matter, Elements, and Compounds p. Preface p. vii Careers in Electronics p. xii Using a Calculator p. xvi Safety Precautions p. xix Dc Circuits p. 1 Fundamentals of Electricity p. 3 Matter, Elements, and Compounds p. 4 A Closer Look at

More information

Industrialization of Micro-Electro-Mechanical Systems. Werner Weber Infineon Technologies

Industrialization of Micro-Electro-Mechanical Systems. Werner Weber Infineon Technologies Industrialization of Micro-Electro-Mechanical Systems Werner Weber Infineon Technologies Semiconductor-based MEMS market MEMS Market 2004 (total 22.7 BUS$) Others mostly Digital Light Projection IR Sensors

More information

Laser Systems and Applications

Laser Systems and Applications MSc in Photonics & Europhotonics Laser Systems and Applications Cristina Masoller Research group on Dynamics, Nonlinear Optics and Lasers (DONLL) Departament de Física i Enginyeria Nuclear Universitat

More information

COMMERCIAL APPLICATIONS OF SPINTRONICS TECHNOLOGY

COMMERCIAL APPLICATIONS OF SPINTRONICS TECHNOLOGY Presented at Nanomaterials 2004, Stamford, CT, October 25, 2004 COMMERCIAL APPLICATIONS OF SPINTRONICS TECHNOLOGY Carl H. Smith Senior Physicist, Advanced Technology Group NVE Corporation 11409 Valley

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Low Energy Communication: NanoPhotonic & Electrical. Prof. Eli Yablonovitch EECS Dept. UC Berkeley

Low Energy Communication: NanoPhotonic & Electrical. Prof. Eli Yablonovitch EECS Dept. UC Berkeley Low Energy Communication: NanoPhotonic & Electrical Prof. Eli Yablonovitch EECS Dept. UC Berkeley What is the energy cost of reading out your flash memory? Read the current going through a resistor, in

More information

inemi OPTOELECTRONICS ROADMAP FOR 2004 Dr. Laura J. Turbini University of Toronto SMTA International September 26, 2005

inemi OPTOELECTRONICS ROADMAP FOR 2004 Dr. Laura J. Turbini University of Toronto SMTA International September 26, 2005 inemi OPTOELECTRONICS ROADMAP FOR 2004 0 Dr. Laura J. Turbini University of Toronto SMTA International September 26, 2005 Outline Business Overview Traditional vs Jisso Packaging Levels Optoelectronics

More information

Course Project. Project team forming deadline has passed Project teams will be announced soon Next step: project proposal presentation

Course Project. Project team forming deadline has passed Project teams will be announced soon Next step: project proposal presentation Course Project Project team forming deadline has passed Project teams will be announced soon Next step: project proposal presentation Presentation slides and one-page proposal document are due on Jan 30

More information

Energy beam processing and the drive for ultra precision manufacturing

Energy beam processing and the drive for ultra precision manufacturing Energy beam processing and the drive for ultra precision manufacturing An Exploration of Future Manufacturing Technologies in Response to the Increasing Demands and Complexity of Next Generation Smart

More information

6.012 Microelectronic Devices and Circuits

6.012 Microelectronic Devices and Circuits MIT, Spring 2003 6.012 Microelectronic Devices and Circuits Jesús del Alamo Dimitri Antoniadis, Judy Hoyt, Charles Sodini Pablo Acosta, Susan Luschas, Jorg Scholvin, Niamh Waldron Lecture 1 6.012 overview

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information