Obsolescence Management Challenges for Users of Semiconductors

Size: px
Start display at page:

Download "Obsolescence Management Challenges for Users of Semiconductors"

Transcription

1 Obsolescence Management Challenges for Users of Semiconductors Peter Marston Business and Technical Consultant Dan Deisz Director of Design and Technology IIOM Conference June 2017 Inventory Distribution Product Manufacturing Manufacturing Services

2 Obsolescence Management Challenges for Users of Semiconductors Agenda Semiconductor Importance to the Electronics Industry Semiconductor Industry Consolidation Market Segment Focus of Mergers & Acquisitions Product Fallout Winners & Losers Obsolescence Impact Counterfeiting Impact Challenges Summary

3 Semiconductor Importance Without semiconductors where would the electronics industry be today?

4 Semiconductor Market Segments

5 Semiconductor Industry Consolidation All industries undergo consolidation as they mature The semiconductor industry has been in existence for more than 60 years Mergers and acquisitions have taken place over this time but there has been a major acceleration of activity in the last 24 months

6 Semiconductor Industry Consolidation

7 Semiconductor Industry Consolidation 2015 Altera Intel Freescale NXP Broadcom Avago Atmel Dialog? International Rectifier Infineon Micrel MicroChip PMC-Sierra Skyworks EZChip Mellanox Pericom Diodes IBM Micro Global Foundries Fairchild ON

8 Semiconductor Industry Consolidation 2016 Atmel purchased by Microchip ARM purchased by Softbank of Japan Linear Technology purchased by Analog Devices Cypress Semiconductor and Toshiba up for sale Infineon buys Wolfspeed (2017 input = maybe) STM buys parts of AMS Fairchild Semiconductor purchase by ON Semiconductor completed Renesas buys Intersil Qualcomm buys NXP ADI buy Innovasic Lattice purchased by Canyon Bridge (US based Chinese private equity company M/A Com buys Applied Micro Infineon buys Innoluce Teledyne buys e2v Toshiba?? Announced since September 2016

9 Semiconductor Industry Consolidation Why is this happening now? Inexpensive Capital Cash on hand at many of the larger companies Many chip stocks are historically undervalued Smaller companies struggle to compete; R&D to keep up with technology coupled with volume sales are required to keep profit margins up Only 4 companies able to afford 14nm (Intel, TSMC, Global, Samsung) SMIC not too far behind To Focus on growth markets of IOT, Datacenters, Automotive, and Consumer

10 Market Segment/Product Focus Datacenter Silicon Processors (Intel) SSD Disk Drives New memory architectures (Intel/Micron 3D Xpoint vs. HP/Sandisk Memristor SCM technology) Spinning Disk Drives (Seagate, WD) Connectivity (Mellanox, Skyworks, Broadcom) Power Management (TI, Infineon, ON) Automotive Sensors (Renesas, Infineon, NXP, ST, Infineon) Microcontrollers (NXP, Infineon, TI, Dialog) Power Management (TI, Infineon, ON) Consumer and IoT Sensors (Sony, ADI, NXP, Infineon) Processors & Microcontrollers (Nvidia, Qualcomm, Intel) Smart Cards (NXP, Infineon, Samsung, ST) Wireless (Broadcom, TI)

11 Potential Obsolescence Impact Massive semiconductor market consolidation brings product-line consolidation and/or elimination. Profit margin differences between merged/acquired companies put stress on the lower margin products. Intel was at 65% when acquiring Altera at 55%. An acquiring company needs to finish identifying Synergies within 2 years or the odds of a successful acquisition diminish 1 year to complete the reorganization and announce updated product plans PDN s start anywhere from 18 months to 2 years after Day 1 PDN s allow 6 months to 1 year to get last-time buys in place Final product ships are typically 3-4 years after Day 1. Product lines can be discontinued because of revenue, silicon, packaging, and/or test platform reasons PCN/PDN activity could easily be 3-4x prior years and no BOM analysis tool is going to predict this

12 Potential Obsolescence Impact Intel Altera: to focus on Datacenter Silicon Support for silicon not fabricated at Intel? Already a massive EOL notice from Altera Independent entities until? Management structure? Qualcomm/NXP/Freescale: to focus on Automotive & IoT Future of PowerPC and 68xxx at Freescale? Especially with Qualcomm SnapDragon and commitment to ARM Fab and product line consolidation? NXP sold off standard products to Nexperia 1 quarter Avago Broadcom: to focus on Networking Avago aggressively drives cost/profit: culture differences, layoffs? Very large portfolio likely to be consolidated? Management Structure? The final management structure for an acquisition will impact which products continue.

13 Product Fallout : Winners & Losers Winners Automotive Market Segment ARM processor architecture SSD memory architectures (new) Power Management Losers PowerPC processor architecture 68xxx product architecture Older products run on internal fabs and at foundries Non-SSD memory architectures Military Segment Leaded package options Any product in higher pin-count DIP, PQFP, PLCC packages

14 Packaging Fallout Older Leadframe Packages Packaging obsolescence: DIP 40-pin first, followed by others PQFP Higher pin count (e.g. 240-pin) first, followed by others Flip-chip BGA substrates are unique for each design! Leaded options Product and package obsolescence is a significant EOL driver and presents new challenges to the industry

15 Obsolescence Management Challenges for Users of Semiconductors Industry consolidation presents new opportunities for counterfeiting Redundant equipment Knowledgeable employees, especially at subcontractors many in questionable locations (IP theft, Trojans**). Surplus inventory from product obsoletions ** See: Invasion of the Hardware Snatchers: Cloned Electronics Pollute the Market Article by Mark M Tehranipoor, Ujjwal Guin and Swarup Bhunia, IEEE Spectrum - 24 April 2017 Increased counterfeit risks

16 Obsolescence Management Challenges for Users of Semiconductors Solving obsolescence (and avoiding counterfeits) Obsolescence will happen and management is key for all long term electronic applications Select suitable semiconductors from the right manufacturers at the design stage Where appropriate/possible have a technology refresh plan Have a counterfeit awareness/avoidance policy don t rely on industry mitigation standards to guarantee avoidance.

17 Obsolescence Management Challenges for Users of Semiconductors Rochester Electronics can help electronics equipment manufacturers and users with semiconductor obsolescence and ensure counterfeits are avoided

18 Semiconductor Obsolescence and Counterfeiting Die Bank Inventory Test Rochester Electronics THE Authorised Aftermarket Manufacturer for Over 70 Semiconductor Manufacturers Assembly Product Recreation Quality and Reliability Laboratories

19 Summary Market segment focus and the need to scale were significant drivers for the 2015/2016 semiconductor M&A activity. Semiconductor OCM consolidation is already impacting product availability. Package obsolescence is part of the fallout (e.g.40pin DIP almost extinct). PCN/PDN activity could easily be 3-4x prior years and BOM analysis tools unlikely to be able to predict this Partner with an appropriate semiconductor supplier BEFORE obsolescence (where possible). Select the right product/packaging at the design stage Improvise, Adapt and Overcome! Be Aware of the Counterfeit Dangers

20 Thank you and Questions?

Dynamic Semiconductor Years

Dynamic Semiconductor Years Dynamic Semiconductor Years PSMC Meeting April 25-27 Director IHS Markit Technology 15 Inverness Way East Englewood, CO 80112 P: +1 303 988 2206 2 IHS Markit Addressing strategic challenges with interconnected

More information

A Semiconductor Manufacturers Perspective on Obsolescence and Counterfeiting

A Semiconductor Manufacturers Perspective on Obsolescence and Counterfeiting A Semiconductor Manufacturers Perspective on Obsolescence and Counterfeiting Peter Marston Business Development and Technical Consultant IIOM Conference June 2015 Topics Semiconductor Manufacturing - Historical

More information

Robert Maire President Semiconductor Advisors LLC. Semiconductor Advisors LLC

Robert Maire President Semiconductor Advisors LLC. Semiconductor Advisors LLC Robert Maire President China Investments & Aspirations in the Semiconductor Industry Regulatory issues - CFIUS Current administration policy & direction Taiwan TSMC and the semiconductor industry Trade

More information

Proceedings. BiTS Shanghai October 21, Archive - Session BiTS Workshop Image: Zhu Difeng/Dollar Photo Club

Proceedings. BiTS Shanghai October 21, Archive - Session BiTS Workshop Image: Zhu Difeng/Dollar Photo Club Proceedings Archive - Session 1 2015 BiTS Workshop Image: Zhu Difeng/Dollar Photo Club Proceedings With Thanks to Our Sponsors! Premier Honored Distinguished Publication Sponsor 2 Proceedings Presentation

More information

RF & Wireless Solutions Guide

RF & Wireless Solutions Guide RF & Wireless Solutions Guide RF & Wireless Solutions www.em.avnet.com/r f 800-332-8638 RF & Wireless Design Solutions From design to volume production, offers products, services and tools that accelerate

More information

DIGITAL POWER ICs High Growth Opportunities for IC and Foundry Vendors

DIGITAL POWER ICs High Growth Opportunities for IC and Foundry Vendors DIGITAL POWER ICs High Growth Opportunities for IC and Foundry Vendors ABSTRACT The purpose of this report is to deliver an up-to-date understanding of the digital power IC market landscape from five major

More information

BUILDING A VISION FOR THE EUROPEAN SEMICONDUCTOR INDUSTRY

BUILDING A VISION FOR THE EUROPEAN SEMICONDUCTOR INDUSTRY BUILDING A VISION FOR THE EUROPEAN SEMICONDUCTOR INDUSTRY A STRATEGIC PROGRAM TO PROTECT AND STRENGTHEN CORE BUSINESSES DECEMBER 2009 CONFIDENTIALITY Our clients industries are extremely competitive. The

More information

World Semiconductor Trade Statistics. An Introduction to WSTS

World Semiconductor Trade Statistics. An Introduction to WSTS World Semiconductor Trade Statistics An Introduction to WSTS Table of Contents Contents Mission Membership Merits Meetings More Mission Statement Mission The mission of WSTS is to be the respected source

More information

Status and Perspectives of the European Semiconductor Industry. Andreas Wild

Status and Perspectives of the European Semiconductor Industry. Andreas Wild Status and Perspectives of the European Semiconductor Industry Andreas Wild Content 1. 2011 for the European Semiconductors Industry 2. Public-Private Partnership 3. Key Enabling Technologies: Pilot Lines

More information

A TECHNOLOGY-ENABLED NEW TRUST APPROACH

A TECHNOLOGY-ENABLED NEW TRUST APPROACH A TECHNOLOGY-ENABLED NEW TRUST APPROACH Dr. William Chappell Director, DARPA Microsystems Technology Office (MTO) The U.S. semiconductor landscape The U.S. military must have access to microelectronics

More information

Contrasting Quality Inspections and Engineering Inspection for Counterfeit Detection

Contrasting Quality Inspections and Engineering Inspection for Counterfeit Detection Contrasting Quality Inspections and Engineering Inspection for Counterfeit Detection 2013 ERAI Executive Conference April 19, 2013 General Session Gary F. Shade www.ial-fa.com 1 Outline Introduction and

More information

Yole Developpement. Developpement-v2585/ Publisher Sample

Yole Developpement.  Developpement-v2585/ Publisher Sample Yole Developpement http://www.marketresearch.com/yole- Developpement-v2585/ Publisher Sample Phone: 800.298.5699 (US) or +1.240.747.3093 or +1.240.747.3093 (Int'l) Hours: Monday - Thursday: 5:30am - 6:30pm

More information

Probe Year In Review

Probe Year In Review Probe Year In Review Probe Business Metrics People in Probe Mergers, Acquisitions, & JVs Probe Related News (With lots of help from the Final Test Report) Semiconductor Market $248B 2006 sales, up 9% from

More information

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective Overview of Design Methodology Lecture 1 Put things into perspective ECE 156A 1 A Few Points Before We Start ECE 156A 2 All About Handling The Complexity Design and manufacturing of semiconductor products

More information

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004 ASML Market dynamics Dave Chavoustie EVP Sales Analyst Day, September 30, 2004 Agenda! Market Overview! Growth Opportunities! 300mm Market! Asia Overview / Slide 2 ASML Unit Market Share Trend 60% 12 &

More information

Textron Reports Second Quarter 2014 Income from Continuing Operations of $0.51 per Share, up 27.5%; Revenues up 23.5%

Textron Reports Second Quarter 2014 Income from Continuing Operations of $0.51 per Share, up 27.5%; Revenues up 23.5% Textron Reports Second Quarter 2014 Income from Continuing Operations of $0.51 per Share, up 27.5%; Revenues up 23.5% 07/16/2014 PROVIDENCE, R.I.--(BUSINESS WIRE)-- Textron Inc. (NYSE: TXT) today reported

More information

Analog and Mixed-Signal Center Department of Electrical and Computer Engineering

Analog and Mixed-Signal Center  Department of Electrical and Computer Engineering Analog and Mixed-Signal Center http://amsc.tamu.edu/ Department of Electrical and Computer Engineering Outline._ This power point presentation addresses the following points: What is the Analog Mixed Signal

More information

SEMI Connects: An Overview of SEMI Worldwide. Theresia Fasinski - Manager Membership Relations, SEMI Europe

SEMI Connects: An Overview of SEMI Worldwide. Theresia Fasinski - Manager Membership Relations, SEMI Europe SEMI Connects: An Overview of SEMI Worldwide Theresia Fasinski - Manager Membership Relations, SEMI Europe SEMI Connects to Advance a Global Industry Mission SEMI provides industry stewardship and engages

More information

Emerging Non-Volatile Memories Patent Landscape February 2014

Emerging Non-Volatile Memories Patent Landscape February 2014 Emerging Non-Volatile Memories Patent Landscape February 2014 IBM University of Houston IBM Integrated Magneto Electronics Macronix IBM SanDisk 2405 route des Dolines 06902 Sophia Antipolis, France www.knowmade.com

More information

Update: SOI Wafer Market Continues Its Growth

Update: SOI Wafer Market Continues Its Growth Gartner Dataquest Alert Update: SOI Wafer Market Continues Its Growth The results of Gartner Dataquest's latest survey of the silicon on insulator (SOI) wafer market indicate demand grew 16 percent in

More information

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors Mark Bohr Intel Senior Fellow Logic Technology Development Kaizad Mistry 45 nm Program Manager Logic Technology Development

More information

TRUSTED STATE-OF-THE-ART FOUNDRY ACCESS

TRUSTED STATE-OF-THE-ART FOUNDRY ACCESS TRUSTED STATE-OF-THE-ART FOUNDRY ACCESS Impact Analysis, Assessment, and Strategy Report OCTOBER 2018 B POTOMAC INSTITUTE FOR POLICY STUDIES G POTOMAC INSTITUTE FOR POLICY STUDIES Trusted State-of-the-Art

More information

Prof. Paul Mampilly s. The Company Leading the. $19 Trillion Revolution

Prof. Paul Mampilly s. The Company Leading the. $19 Trillion Revolution Paul Mampilly s Prof The Company Leading the $19 Trillion Revolution The Company Leading the $19 Trillion Revolution By Paul Mampilly, Editor of Profits Unlimited MOORE S law holds that computing power

More information

The Development of the Semiconductor CVD and ALD Requirement

The Development of the Semiconductor CVD and ALD Requirement The Development of the Semiconductor CVD and ALD Requirement 1 Linx Consulting 1. We create knowledge and develop unique insights at the intersection of electronic thin film processes and the chemicals

More information

PARADIGM SHIFT: THE WINNERS ARE. Dr. Jeremy Wang Asia Pacific Executive Director, GSA July 30, 2008

PARADIGM SHIFT: THE WINNERS ARE. Dr. Jeremy Wang Asia Pacific Executive Director, GSA July 30, 2008 PARADIGM SHIFT: THE WINNERS ARE Dr. Jeremy Wang Asia Pacific Executive Director, GSA July 30, 2008 GSA Mission Accelerate the growth and increase the return on invested capital of the global semiconductor

More information

Global and China Automotive. Feb.2017

Global and China Automotive. Feb.2017 Global and China Automotive Semiconductor Industry Report, 2016-20202020 Feb.2017 STUDY GOAL AND OBJECTIVES This report provides the industry executives with strategically significant competitor information,

More information

CHINA STRONG PROMOTION OF SEMICONDUCTOR INDUSTRY PROACTIVE APPROACH WITH POWER DEVICES

CHINA STRONG PROMOTION OF SEMICONDUCTOR INDUSTRY PROACTIVE APPROACH WITH POWER DEVICES 1 CHINA STRONG PROMOTION OF SEMICONDUCTOR INDUSTRY PROACTIVE APPROACH WITH POWER DEVICES Technology Studies Dept. II, Mitsui Global Strategic Studies Institute Noriyasu Ninagawa INTRODUCTION PROMOTING

More information

Textron Reports Third Quarter 2014 Income from Continuing Operations of $0.57 per Share, up 62.9%; Revenues up 18.1%

Textron Reports Third Quarter 2014 Income from Continuing Operations of $0.57 per Share, up 62.9%; Revenues up 18.1% Textron Reports Third Quarter Income from Continuing Operations of $0.57 per Share, up 62.9%; Revenues up 18.1% 10/17/ PROVIDENCE, R.I.--(BUSINESS WIRE)-- Textron Inc. (NYSE: TXT) today reported third

More information

2010 IRI Annual Meeting R&D in Transition

2010 IRI Annual Meeting R&D in Transition 2010 IRI Annual Meeting R&D in Transition U.S. Semiconductor R&D in Transition Dr. Peter J. Zdebel Senior VP and CTO ON Semiconductor May 4, 2010 Some Semiconductor Industry Facts Founded in the U.S. approximately

More information

Indicators Point to Sustainable Semiconductor Market Recovery

Indicators Point to Sustainable Semiconductor Market Recovery Gartner Dataquest Alert Indicators Point to Sustainable Semiconductor Market Recovery Positive leading indicators out of Asia/Pacific and Japan, as well as improved guidance from selected U.S. and European

More information

ACACIA RESEARCH GROUP LLC

ACACIA RESEARCH GROUP LLC ACACIA RESEARCH GROUP LLC ACACIA UNLOCKING RESEARCH patent GROUP, POTENTIAL LLC NASDAQ: ACTG A Subsidiary of Acacia Research Corporation Forward Looking Statements This presentation contains forward looking

More information

World Semiconductor Council Anticounterfeiting Task Force

World Semiconductor Council Anticounterfeiting Task Force World Semiconductor Council Anticounterfeiting Task Force 1 Overview Because they are used in criticallyimportant applications, counterfeit semiconductors threaten the health, safety, and security of everyone

More information

Electronics Putting Internet into Things. JP Morgan. 1 April 2015 Sam Weiss Chairman

Electronics Putting Internet into Things. JP Morgan. 1 April 2015 Sam Weiss Chairman Electronics Putting Internet into Things JP Morgan 1 April 2015 Sam Weiss Chairman Introduction Disclaimer This presentation has been prepared by Altium Limited (ACN 009 568 772) and is for information

More information

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM Technology Transfers Opportunities, Process and Risk Mitigation Radhika Srinivasan, Ph.D. IBM Abstract Technology Transfer is quintessential to any technology installation or semiconductor fab bring up.

More information

STEM Teacher Roundtable

STEM Teacher Roundtable STEM Teacher Roundtable May 10, 2016 Whitney Butts Secure Computing & Communications MacAulay-Brown, Inc. whitney.butts@macb.com (540)283-7544 MacB History & Timeline 2 MacB SCC MacAulay-Brown (MacB) Founded

More information

[Overview of the Consolidated Financial Results]

[Overview of the Consolidated Financial Results] 0 1 [Overview of the Consolidated Financial Results] 1. Consolidated revenue totaled 5,108.3 billion yen, increased by 581.1 billion yen (+12.8%) from the previous year. 2. Consolidated operating profit

More information

Wireless Power Solution

Wireless Power Solution Wireless Power Solution Jeff McCreary President and CEO Analog Semiconductor Forum October 8, 2013 PAGE 1 AGENDA IDT: Who We Are The Next Killer Application Wireless Power User Benefits Market Opportunities

More information

Model of Open Innovation IMEC IIAP: a View from Russia

Model of Open Innovation IMEC IIAP: a View from Russia "Again I say to you, that if two of you agree on Earth about anything that they may ask, it shall be done for them by My Father who is in Heaven. Holy Bible, Matthew 18:19 It seems like for the time being

More information

NXP bursts R&D workloads into the cloud with AWS Customer Case Study Commissioned by: Amazon Web Services

NXP bursts R&D workloads into the cloud with AWS Customer Case Study Commissioned by: Amazon Web Services NXP bursts R&D workloads into the cloud with AWS Customer Case Study Commissioned by: Amazon Web Services Peter Vermeulen Pb7 Research 15 december 2017 peter@pb7.nl 1 Summary NXP Semiconductors N.V. is

More information

O M E N T A INTERNATIONAL. Company Background 7/26/91

O M E N T A INTERNATIONAL. Company Background 7/26/91 O M E N T A INTERNATIONAL Company Background 7/26/91 THE COMPANY Momenta was founded in September 1989. The company's mission is to create an "anytime, anywhere" computer, a machine that delivers computing

More information

Confirms 2013 Financial Guidance

Confirms 2013 Financial Guidance Confirms 2013 Financial Guidance PROVIDENCE, R.I.--(BUSINESS WIRE)--Jul. 17, 2013-- Textron Inc. (NYSE: TXT) today reported second quarter 2013 income from continuing operations of $0.40 per share, compared

More information

SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK

SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK Factbook 2014 SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK INTRODUCTION The data included in the 2014 SIA Factbook helps demonstrate the strength and promise of the U.S. semiconductor industry and why it

More information

VENUE Market Spotlight TECH M&A. January 2017 Edition

VENUE Market Spotlight TECH M&A. January 2017 Edition VENUE Market Spotlight TECH M&A January 2017 Edition WELCOME CONTENTS Foreword 3 Survey 4 Technology deals 10 in the room About Donnelley 11 Financial Solutions Dear Valued Reader, Welcome to the January

More information

Digital Engines for Smart and Connected Cars By Bob O Donnell, TECHnalysis Research Chief Analyst

Digital Engines for Smart and Connected Cars By Bob O Donnell, TECHnalysis Research Chief Analyst WHITE PAPER On Behalf of Digital Engines for Smart and Connected Cars By Bob O Donnell, TECHnalysis Research Chief Analyst SUMMARY Interest in advanced car electronics is extremely high, but there is a

More information

RF GaN Market. Applications, players, devices, and technologies

RF GaN Market. Applications, players, devices, and technologies RF GaN Market Applications, players, devices, and technologies 2018 2023 RF GaN Market Sample www.yole.fr 2018 REPORT OBJECTIVES Provide an overview of the RF GaN market. Analyze different players in different

More information

Dassault Systèmes in High-Tech

Dassault Systèmes in High-Tech Dassault Systèmes in High-Tech London September 3 rd, 2014 Olivier RIBET Vice-President, High Tech Industry 1 High-Tech: Driver of Innovation across Industries Connect Product, Nature & Life is the challenge

More information

Kaben Wireless Silicon and Triad Semiconductor Partnership. Wireless & RF ASICs for Everyone!

Kaben Wireless Silicon and Triad Semiconductor Partnership. Wireless & RF ASICs for Everyone! Kaben Wireless Silicon and Triad Semiconductor Partnership Wireless & RF ASICs for Everyone! Kaben Wireless Silicon & Triad Semiconductor Pursue a Comprehensive Partnership Triad Semiconductor Via Configurable

More information

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Peter De Dobbelaere Luxtera Inc. 09/19/2016 Luxtera Proprietary www.luxtera.com Luxtera Company Introduction $100B+ Shift

More information

GIGAPHOTON INTRODUCTION

GIGAPHOTON INTRODUCTION GIGAPHOTON INTRODUCTION 15 th September 2017 Tatsuo Enami Director and Senior Executive Officer GIGAPHOTON Copyright Gigaphoton Inc. Outline of Gigaphoton Business Light source business

More information

Menu. Analog and Embedded Processing. TI at a glance. Innovation. Manufacturing. University and student engagement. Our commitment and values.

Menu. Analog and Embedded Processing. TI at a glance. Innovation. Manufacturing. University and student engagement. Our commitment and values. Menu TI at a glance Analog and Embedded Processing Innovation Manufacturing Markets Financials University and student engagement Our commitment and values TI at a glance Global semiconductor design and

More information

Direct printing tools for flexible hybrid electronics assembly. David Grierson, Ph.D. President & CTO of systemech, LLC

Direct printing tools for flexible hybrid electronics assembly. David Grierson, Ph.D. President & CTO of systemech, LLC Direct printing tools for flexible hybrid electronics assembly David Grierson, Ph.D. President & CTO of systemech, LLC We solve the problem of placing ultra-thin, high-performance Si devices onto flexible

More information

Response to Counterfeit ICs in the Supply Chain

Response to Counterfeit ICs in the Supply Chain Response to Counterfeit ICs in the Supply Chain Gary F. Shade Insight Analytical Labs (IAL) Email: gshade@ial-fa.com Introduction Webster [1] defines a counterfeit item as made in imitation of something

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

DARPA TRUST in IC s Effort. Dr. Dean Collins Deputy Director, MTO 7 March 2007

DARPA TRUST in IC s Effort. Dr. Dean Collins Deputy Director, MTO 7 March 2007 DARPA TRUST in IC s Effort Dr. Dean Collins Deputy Director, MTO 7 March 27 Report Documentation Page Form Approved OMB No. 74-88 Public reporting burden for the collection of information is estimated

More information

DTMOS IV Efficiency Advantages of Superjunction Transistors. By Michael Piela, Toshiba Electronics Europe

DTMOS IV Efficiency Advantages of Superjunction Transistors. By Michael Piela, Toshiba Electronics Europe DTMOS IV Efficiency Advantages of Superjunction Transistors By Michael Piela, Toshiba Electronics Europe Summary Superjunction MOSFETs are able to deliver a combination of high conduction and switching

More information

GSEF 2019 Advisory Board

GSEF 2019 Advisory Board GSEF 2019 Advisory Board Ralph Lauxmann, Senior Vice President Systems & Technology, Continental Automotive Hans Adlkofer, Vice President Systems Group, The Automotive Division, Infineon Technologies Hai

More information

Partner for Success Secure & Smart Future Home

Partner for Success Secure & Smart Future Home Partner for Success Secure & Smart Future Home Jiang Yanbing Director of Strategy and Market Development Dept. Infineon Technologies China Table of contents 1 About Infineon 2 Make Future Home Smart and

More information

Fan-Out Wafer Level Packaging Patent Landscape Analysis

Fan-Out Wafer Level Packaging Patent Landscape Analysis Fan-Out Wafer Level Packaging Patent Landscape Analysis Source: Infineon Source: TSMC Source: ASE November 2016 Source: Deca Technologies Source: STATS ChipPAC Source: Nepes KnowMade Patent & Technology

More information

MEMS Sensors: From Automotive. CE Applications. MicroNanoTec Forum Innovations for Industry April 19 th Hannover, Germany

MEMS Sensors: From Automotive. CE Applications. MicroNanoTec Forum Innovations for Industry April 19 th Hannover, Germany MEMS Sensors: From Automotive to CE Applications MicroNanoTec Forum Innovations for Industry 2010 April 19 th Hannover, Germany Oliver Schatz, CTO 1 Engineering April 2010 GmbH 2009. All rights reserved,

More information

Real Time Implementation of Power Electronics System

Real Time Implementation of Power Electronics System Real Time Implementation of Power Electronics System Prof.Darshan S.Patel M.Tech (Power Electronics & Drives) Assistant Professor,Department of Electrical Engineering Sankalchand Patel College of Engineerig-Visnagar

More information

To Our Shareholders 2 SQUARE ENIX CO., LTD.

To Our Shareholders 2 SQUARE ENIX CO., LTD. To Our Shareholders I am proud to present the annual report of SQUARE ENIX for fiscal 2004, ended March 31, 2005. Fiscal 2004 was the Company s second year of business since we were formed through the

More information

Beyond Moore the challenge for Europe

Beyond Moore the challenge for Europe Beyond Moore the challenge for Europe Dr. Alfred J. van Roosmalen Vice-President Business Development, NXP Semiconductors Company member of MEDEA+/CATRENE/AENEAS/Point-One FIT-IT 08 Spring Research Wien,

More information

From Smart Machines to Smart Supply Chains: Some Missing Pieces

From Smart Machines to Smart Supply Chains: Some Missing Pieces From Smart Machines to Smart Supply Chains: Some Missing Pieces LEON MCGINNIS PROFESSOR EMERITUS STEWART SCHOOL OF INDUSTRIAL AND SYSTEMS ENGINEERING GEORGIA TECH Agenda Smart factory context Reality check

More information

2008 IEEE Semiconductor Wafer Test Workshop

2008 IEEE Semiconductor Wafer Test Workshop 2008 IEEE Semiconductor Wafer Test Workshop 18 th Annual SWTW You Are Here! Paradise Point and Spa, San Diego, CA Bill Mann (Chair Emeritus) Jerry Broz, Ph.D. (General Chair) Probe Year In Review With

More information

RF Front-End. Modules For Cellphones Patent Landscape Analysis. KnowMade. January Qualcomm. Skyworks. Qorvo. Qorvo

RF Front-End. Modules For Cellphones Patent Landscape Analysis. KnowMade. January Qualcomm. Skyworks. Qorvo. Qorvo RF Front-End Qualcomm Modules For Cellphones Patent Landscape Analysis Skyworks January 2018 Qorvo Qorvo KnowMade Patent & Technology Intelligence 2018 www.knowmade.com TABLE OF CONTENTS INTRODUCTION 4

More information

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research Global 450mm Consortium at CNSE Michael Liehr, General Manager G450C, Vice President for Research - CNSE Overview - G450C Vision - G450C Mission - Org Structure - Scope - Timeline The Road Ahead for Nano-Fabrication

More information

35 YEARS OF ANALOG INNOVATION 35% NET PROFIT 121 QUARTERS OF POSITIVE CASH FLOW 30 YEARS ON THE NASDAQ 16 YEARS ON THE S&P ANNUAL REPORT

35 YEARS OF ANALOG INNOVATION 35% NET PROFIT 121 QUARTERS OF POSITIVE CASH FLOW 30 YEARS ON THE NASDAQ 16 YEARS ON THE S&P ANNUAL REPORT LINEAR TECHNOLOGY CORPORATION 2016 ANNUAL REPORT 16 YEARS ON THE S&P 500 121 QUARTERS OF POSITIVE CASH FLOW 30 YEARS ON THE NASDAQ 35% NET PROFIT 35 YEARS OF ANALOG INNOVATION Financial Highlights 35 Years

More information

Rovio Entertainment Corporation Annual General Meeting April 9, 2019 CEO REVIEW Kati Levoranta

Rovio Entertainment Corporation Annual General Meeting April 9, 2019 CEO REVIEW Kati Levoranta Rovio Entertainment Corporation Annual General Meeting April 9, 2019 CEO REVIEW Kati Levoranta TRANSLATED FROM THE FINNISH ORIGINAL Translated from the Finnish original. Finnish version prevails. Contents

More information

CLSA Investors Forum 2017

CLSA Investors Forum 2017 CLSA Investors Forum 2017 Grand Hyatt Hong Kong Craig De Young Vice President Investor Relations September 11-15 2017 Forward looking statements Slide 2 This document contains statements relating to certain

More information

Infineon at a glance

Infineon at a glance Infineon at a glance 2017 www.infineon.com We make life easier, safer and greener with technology that achieves more, consumes less and is accessible to everyone. Microelectronics from Infineon is the

More information

Specialization in Microelectronics. Wang Qijie Nanyang Assistant Professor in EEE March 8, 2013

Specialization in Microelectronics. Wang Qijie Nanyang Assistant Professor in EEE March 8, 2013 Specialization in Microelectronics Wang Qijie Nanyang Assistant Professor in EEE qjwang@ntu.edu.sg March 8, 2013 Electronic Engineering Option Microelectronics What is it about? Study of semiconductor

More information

Serving Diversified Markets F1Q10

Serving Diversified Markets F1Q10 Corporate Overview Microsemi Today Global Supplier of Semiconductor & Electronic Systems Founded 1960. 50 years of success Nasdaq - MSCC Specializing in System-engineered analog mixed signal integrated

More information

Development and Deployment of Embedded Vision in Industry: An Update. Jeff Bier, Founder, Embedded Vision Alliance / President, BDTI

Development and Deployment of Embedded Vision in Industry: An Update. Jeff Bier, Founder, Embedded Vision Alliance / President, BDTI Development and Deployment of Embedded Vision in Industry: An Update Jeff Bier, Founder, Embedded Vision Alliance / President, BDTI NIWeek August 7, 2013 The Big Picture Computer vision is crossing the

More information

How material engineering contributes to delivering innovation in the hyper connected world

How material engineering contributes to delivering innovation in the hyper connected world How material engineering contributes to delivering innovation in the hyper connected world Paul BOUDRE, Soitec CEO Leti Innovation Days - July 2018 Grenoble, France We live in a world of data In perpetual

More information

Targets, UAVS & Range Operations Symposium & Exhibition. Some Enabling Technologies

Targets, UAVS & Range Operations Symposium & Exhibition. Some Enabling Technologies Targets, UAVS & Range Operations Symposium & Exhibition Some Enabling Technologies Brad Westphal October 26, 2011 Agenda Budget impacts and macro environment Platforms and positions Technology portfolio

More information

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions. Introduction - Chapter 1 Evolution of IC Fabrication 1960 and 1990 integrated t circuits. it Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity

More information

» Facing the Smart Future «

» Facing the Smart Future « Industrie 4.0 Internet of Things» Facing the Smart Future «Smart Products, Production and Services Internet of Services Industrial Internet Digital Manufacturing Call for Partners: Consortium Study Our

More information

Day One 13 March Day Two 14 March 2019

Day One 13 March Day Two 14 March 2019 GSEF 2019 Advisory Board Ralph Lauxmann, Senior Vice President Systems & Technology, Continental Automotive Hans Adlkofer, Vice President Systems Group, The Automotive Division, Infineon Technologies Hai

More information

Trend spotting: Qualcomm executives consider the next wave of growth in semiconductors

Trend spotting: Qualcomm executives consider the next wave of growth in semiconductors 20 Andrew Baker Trend spotting: Qualcomm executives consider the next wave of growth in semiconductors Steven Mollenkopf and Murthy Renduchintala offer their take on the technology, talent, and business

More information

The Future of Packaging ~ Advanced System Integration

The Future of Packaging ~ Advanced System Integration The Future of Packaging ~ Advanced System Integration Enabling a Microelectronic World R. Huemoeller SVP, Adv. Product / Platform Develop June 2013 Product Segments End Market % Share Summary 2 New Product

More information

Commodity Management in the Department of Defense

Commodity Management in the Department of Defense 0 DMSMS Workshop Commodity Management in the Department of Defense Microelectronics Commodity San Antonio, TX December, 2005 1 Contents Introduction Issues and trends (DoD vs. Industry) Commodity overview

More information

Glass Substrates for Semiconductor Manufacturing

Glass Substrates for Semiconductor Manufacturing Glass Substrates for Semiconductor Manufacturing The first REPORT analyzing in detail the glass wafer for wafer level packaging and micro structuring technologies applications 2013 Content of the report

More information

Guidelines to Promote National Integrated Circuit Industry Development : Unofficial Translation

Guidelines to Promote National Integrated Circuit Industry Development : Unofficial Translation Guidelines to Promote National Integrated Circuit Industry Development : Unofficial Translation Ministry of Industry and Information Technology National Development and Reform Commission Ministry of Finance

More information

IFX Day Campeon 07 June Dr. Reinhard Ploss Member of the Management Board Operations, R&D, Labor Director

IFX Day Campeon 07 June Dr. Reinhard Ploss Member of the Management Board Operations, R&D, Labor Director IFX Day 2011 Campeon Dr. Reinhard Ploss Member of the Management Board Operations, R&D, Labor Director Table of Contents Manufacturing Strategy Investments and 300mm Power Semiconductor Technologies and

More information

Weebit Nano (ASX: WBT) Silicon Oxide ReRAM Technology

Weebit Nano (ASX: WBT) Silicon Oxide ReRAM Technology Weebit Nano (ASX: WBT) Silicon Oxide ReRAM Technology Amir Regev VP R&D Leti Memory Workshop June 2017 1 Disclaimer This presentation contains certain statements that constitute forward-looking statements.

More information

East and Southeast Asian countries experience with Patenting and technology development in the Integrated Circuits Industry

East and Southeast Asian countries experience with Patenting and technology development in the Integrated Circuits Industry East and Southeast Asian countries experience with Patenting and technology development in the Integrated Circuits Industry Rajah Rasiah (draft) Professor of Technology and Innovation Policy and Holder

More information

INVEST IN CÔTE D AZUR A European leader in chip design

INVEST IN CÔTE D AZUR A European leader in chip design INVEST IN CÔTE D AZUR A European leader in chip design Leading IT innovation since 1959 CÔTE D AZUR AN ACTIVE NETWORK FOR YOUR BUSINESS INNOVATE FASTER INTERACT EASIER A top destination in France for foreign

More information

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven Public Introduction to ASML Ron Kool SVP Corporate Strategy and Marketing March-2015 Veldhoven 1991 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012

More information

Suggested Drivers for Use with Vixar Products

Suggested Drivers for Use with Vixar Products Suggested Drivers for Use with Vixar Products Bill Hogan bhogan@vixarinc.com Table of Contents 1 1. INTRODUCTION... 2 2. GENERAL PURPOSE DRIVERS... 2 2.1. IC-Haus... 2 2.2. Voltage Reference with Op-Amp

More information

Technology & Manufacturing

Technology & Manufacturing Technology & Manufacturing Jean-Marc Chery Chief Operating Officer Front-End Manufacturing Unique capability 2 Technology portfolio aligned with application focus areas Flexible IDM model with foundry

More information

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016 MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016 A*STAR S IME KICKS OFF CONSORTIA TO DEVELOP ADVANCED PACKAGING SOLUTIONS FOR NEXT-GENERATION INTERNET OF THINGS APPLICATIONS AND HIGH-PERFORMANCE WIRELESS

More information

Regulatory Update. In Depth: China s Push in Semiconductor Technology and IP

Regulatory Update. In Depth: China s Push in Semiconductor Technology and IP Vol. 5 No. 13 January 17, 2017 Qualcomm/NXP: Industrial Policy, Semiconductor Ambitions Likely to Drive Significant MOFCOM Divestiture Ask; Substantial Asset Sale Push Could Raise Materiality, CFIUS Issues

More information

ARCHIVE Brandon Prior Senior Consultant Prismark Partners ABSTRACT

ARCHIVE Brandon Prior Senior Consultant Prismark Partners ABSTRACT ARCHIVE 2010 LOW COST, SMALL FORM FACTOR PACKAGING by Brandon Prior Senior Consultant Prismark Partners W ABSTRACT hile size reduction and performance improvement are often the drivers of new package and

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

Paul Mampilly s. Prof. The Company Leading the $19 Trillion Revolution

Paul Mampilly s. Prof. The Company Leading the $19 Trillion Revolution Paul Mampilly s Prof The Company Leading the $19 Trillion Revolution The Company Leading the $19 Trillion Revolution By Paul Mampilly, Editor of Profits Unlimited MOORE S Law holds that computing power

More information

Fan-Out Wafer Level Packaging Patent Landscape Analysis

Fan-Out Wafer Level Packaging Patent Landscape Analysis Fan-Out Wafer Level Packaging Patent Landscape Analysis Source: Infineon Source: TSMC Source: ASE November 2016 Source: Deca Technologies Source: STATS ChipPAC Source: Nepes KnowMade Patent & Technology

More information

Policy Perspective: The Current and Proposed Security Framework

Policy Perspective: The Current and Proposed Security Framework Policy Perspective: The Current and Proposed Security Framework Ms. Kristen Baldwin, DASD(SE) August 16, 2016 05/10/16 Page-1 Outline Design as critical method to addressing trust/assurance We have a new

More information

Menu. Analog and Embedded Processing. TI at a glance. Innovation. Manufacturing. University and student engagement. Our commitment and values.

Menu. Analog and Embedded Processing. TI at a glance. Innovation. Manufacturing. University and student engagement. Our commitment and values. Menu TI at a glance Analog and Embedded Processing Innovation Manufacturing Markets Financials University and student engagement Our commitment and values TI at a glance Global semiconductor design and

More information

Growing the Semiconductor Industry in New York: Challenges and Opportunities

Growing the Semiconductor Industry in New York: Challenges and Opportunities Accelerating the next technology revolution The SEMATECH New York Experience Growing the Semiconductor Industry in New York: Challenges and Opportunities Dan Armbrust President and CEO, SEMATECH April

More information

21 st Annual Needham Growth Conference

21 st Annual Needham Growth Conference 21 st Annual Needham Growth Conference Investor Presentation January 15, 2019 Safe Harbor Statement The information contained in and discussed during this presentation may include forward-looking statements

More information