CLSA Investors Forum 2017

Size: px
Start display at page:

Download "CLSA Investors Forum 2017"

Transcription

1 CLSA Investors Forum 2017 Grand Hyatt Hong Kong Craig De Young Vice President Investor Relations September

2 Forward looking statements Slide 2 This document contains statements relating to certain projections and business trends that are forward-looking, including statements with respect to expected trends and outlook, expected trends in EUV, DUV and Holistic Lithography,, annual revenue opportunity and EPS target for 2020 with significant growth opportunity beyond 2020, expected industry trends including expected industry growth, expected trends for connected devices, cloud computing and storage and expected value of connected world by 2025, expected trends in the business environment, expected trends for our customers, including with respect to their roadmaps, industry innovation and expansion in existing nodes, expected lithography market trends, including continued, shrink and semiconductor end market growth, including revenue opportunity for 2020 and 2025, expected industry trends towards 2020 and beyond and expectation that such trends will drive demand for semiconductors and the expected continuation of Moore's law, ASML's financial model 2020, including the model for sales, gross margin, R&D, SG&A, capex, cash conversion, effective tax rate and EPS, ASML's policy to continue to return excess cash to shareholders, and statements about ASML's plans and priorities with respect to maintaining liquidity and ratings and returning excess cash to shareholders through dividends and buybacks. You can generally identify these statements by the use of words like "may", "will", "could", "should", "project", "believe", "anticipate", "expect", "plan", "estimate", "forecast", "potential", "intend", "continue", "targets", "commits to secure" and variations of these words or comparable words. These statements are not historical facts, but rather are based on current expectations, estimates, assumptions and projections about the business and our future financial results and readers should not place undue reliance on them. Forward-looking statements do not guarantee future performance and involve risks and uncertainties. These risks and uncertainties include, without limitation, economic conditions, product demand and semiconductor equipment industry capacity, worldwide demand and manufacturing capacity utilization for semiconductors (the principal product of our customer base), including the impact of general economic conditions on consumer confidence and demand for our customers' products, competitive products and pricing, the impact of any manufacturing efficiencies and capacity constraints, performance of our systems, the continuing success of technology advances and the related pace of new product development and customer acceptance of new products including EUV, the number and timing of EUV systems expected to be shipped and recognized in revenue, delays in EUV systems production and development and volume production by customers, including meeting development requirements for volume production, demand for EUV systems being sufficient to result in utilization of EUV facilities in which ASML has made significant investments,, our ability to enforce patents and protect intellectual property rights, the risk of intellectual property litigation, availability of raw materials and critical manufacturing equipment, trade environment, changes in exchange rates, changes in tax rates, available cash and liquidity, our ability to refinance our indebtedness, distributable reserves for dividend payments and share repurchases, and other risks indicated in the risk factors included in ASML's Annual Report on Form 20-F and other filings with the US Securities and Exchange Commission. These forward-looking statements are made only as of the date of this document. We do not undertake to update or revise the forward-looking statements, whether as a result of new information, future events or otherwise.

3 Slide 3 Agenda Who is ASML What s happening in our industry? What are we doing about it? What will it cost? How will we make money?

4 ASML Introduction Slide 4 Our DNA Our industry Our customers Our products ASML provides value for all stakeholders by delivering superior integrated patterning solutions at lowest cost of ownership Profits of our thriving ecosystem that continues to grow driving innovations across the value chain We see a growth wave in our end-markets driven by Connected devices, Cloud computing and Storage Compelling cost and performance benefits drive our customers to continue their technology roadmaps In addition, the industry continues to innovate and expand in existing nodes to address new markets EUV enables customer litho roadmaps providing cost-effective shrink for next 10+ years DUV remains a litho workhorse alongside EUV Holistic Lithography maximizes patterning related process yield Market opportunities Market opportunities continue to support our B, > 9 EPS target In addition we see significant growth opportunity beyond 2020

5 Employees, R&D and manufacturing locations Slide 5 3,935 4,124 9,935 August 2017 R&D Sites Manufacturing Sites Employees per continent

6 Lithography is at the heart of chip manufacturing ASML designs and manufactures Lithography tools.the underlying enablers of Moore s Law Slide 6 To produce a chip the process is repeated ~ times

7 Lithography determines dimension size and image placement Slide 7

8 Pub Agenda Slide 8 Who is ASML What s happening in our industry? What are we doing about it? What will it cost? How will we make money?

9 >15X increase of semiconductor use/capita in >30 yrs. Pub Global sale of semiconductor per capita Slide 9 $3 $ billion people 9.3% Total sale CAGR 7.43 billion people Source: Helmoet Gassel, Infineon,: Semiconductors: An ever-changing industry ISS Europe, Munich, March 2017

10 User benefit from Device level innovation Slide 10 Benefit for the customer Efficiency System improvement Device Time Source: Helmoet Gassel, Infineon,: Semiconductors: An ever-changing industry ISS Europe, Munich, March 2017

11 User benefit from System and Device level innovation Slide 11 Benefit for the customer Efficiency System improvement System Device Time Source: Helmoet Gassel, Infineon,: Semiconductors: An ever-changing industry ISS Europe, Munich, March 2017

12 User benefit from System 2 and Device level innovation Slide 12 Benefit for the customer Efficiency System improvement System of systems (network*) System Device Two telephones can make only one connection, five can make 10 connections, and twelve can make 66 connections. Time Source: Helmoet Gassel, Infineon,: Semiconductors: An ever-changing industry ISS Europe, Munich, March 2017 *Metcalfe s Law states that the value of a network is proportional to the square of the number of connected users of the system.

13 A connected world enables entirely new applications Promising > $10 Trillion by 2025 while further increasing system complexity Slide 13 Vehicles Autonomous vehicles and condition-based maintenance $210B 740B Home Core automation / security $200B 350B Offices Security and energy $70B 150B Cities health and transportation $930B 1.7T Outside Logistics and navigation $560B 850B Nine cross-sector settings showing a total potential impact of $3.9 trillion 11.1 trillion per year by 2025 Human Health and fitness $170B 1.6T Worksites Operations optimization / health and safety $160B 930B Factories Operations and equipment optimization $1.2T 3.7T Retail environments Automated checkout $410B 1.2T Roughly 70% of total value is outside consumer applications Business owns the setting (100%), except for Vehicles (~30%), Outside (~70%) Source: Helmoet Gassel, Infineon,: Semiconductors: An ever-changing industry ISS Europe, Munich, March 2017

14 Innovation in end Applications fuel IC demand for Smartphones, Datacenters & increasing content in Automotive \ Markets 2016 Smartphone $84B 1.40B Smart phones Application & Technology Trends Personal hub for IoT applications Smart Assistants Natural Language Processing Augmented and Virtual Reality 5G to support Data traffic growth 2021 Semiconductors $95B 1.81B Smart phones Continued product refreshes with increasing IC content: More powerful processors Integrated memory Faster wireless ICs Slide 14 Servers, datacenters & storage $35B 11M units In-memory compute Advanced Analytics Artificial Intelligence Machine Learning Cloud Storage $60B 13M units Content & unit growth driven by new Apps & data traffic: High end CPUs & GPUs DRAM & SCM1 3D NAND (SSD) storage Automotive $33B 27M assisted cars of 95M Other Source: Gartner 2017 $192B Driver-assisted driving Autonomous vehicles Infotainment Electric Vehicles Consumer e.g. TV Industrial IoT end-point devices Wired and wireless infrastructure $46B 55M autonomous cars of 104M $214B Strong IC content growth : Explosion in sensors Local data processing Connectivity Explosion in data $344B Garry Patton, GF, Forging Intelligent systems in the $415B digital era, ISS, Jan 2017 Continued strong demand for legacy IC nodes : Sensors, micro-controllers, wireless for IoT

15 Application trends in our industry drive continued demand for Moore s Law Slide 15 Industry trends towards 2020 and beyond 50B connected Internet of Things devices needing low-cost devices and generating large data volumes requiring storage and processing 89 million connected cars on the road of which 6 million self-driving generating and processing >1 GB of data per second each 250 million personal health wearables and connected pharmaceuticals for health data collection Explosion of (mostly unstructured) data, growing to >40 Zetabytes from 5 Zetabytes today drive a reinforcing cycle of data creation, transmission, storage and processing Massive computing power and performance memory in-cloud and in-vehicle Very high volumes of lowcost semicon devices Explosion of highperformance storage capacity Ultrafast & high-band-width network infrastructure driving demand for both low-cost and high performance semiconductor products in both memory and logic enabled by the continuation of Moore s law which underpinned by an ecosystem with combined profits of >290B$ Source: McKinsey, ASML

16 Moore s Law is underpinning a business model It supports a whole ecosystem of innovation Slide 16 1 IC performance improvement at similar cost Takeaway Part of the profits are reinvested in R&D, equipment 4 2 Improved electronic devices and new applications ~ $290 billion of annual profit is riding on the industry s ability to keep this cycle going ~ $290 billion of operating profit per year Consumers and businesses 3 upgrade or adopt new products

17 Netease Baidu NXP Toshiba ASML operates in a highly profitable value chain with strong incentives to compete and drive innovation Slide 17 ASML (2) (10) AMAT (2) LRCX (1) KLAC (1) TEL (1) Semi Equipment Semi Manufacturers Semi Non-semi (7) (22) (71) (12) (2) (7) (3) (5) (5) (8) (3) (14) (5) (4) ebay Seagate (3) (2)(1)(1) (16) Semi Design Hardware Software & Services The ecosystem continues to grow Total EBIT, B$ ~275 ~290 ~ (28) (19) (14) (5) (6) (1) (4) (2) (2) Top technology companies in our ecosystem (EBIT 2015, B$), source: S&P Capital IQ

18 Slide 18 Agenda Who is ASML What s happening in our industry? What are we doing about it? What will it cost? How will we make money?

19 Lithography is a key enabler of semiconductor shrink and the resulting cost reduction Moore s Law Slide 19 $2,305 for 1 GB Cost reduction through shrink Moore's Law: The capacity / functionality of each new chip doubles every months at the same cost Cheaper and smaller chips enable the introduction of new devices, which in turn drives market growth $0.17 for 1 GB Source: isuppli

20 Calculations per second per $1000 Overall System Performance Long term multi-decade device roadmap Substantial performance gain next to the impact of geometric scaling edram Hollerith tabulator Analytical engine FINFET 3D IBM tabulator National ELLIS 3000 Photonics Gate All Around PCM-SCM Colossus FPGA 2.0 UNIVAC Bell Calculator model 1 DEC POP-1 emram IBM SSEC IBM 704 IBM 1130 Neuromorphic Computing 1 nm transistors Carbon Nanotube DEC POP-10 Compaq Deskpro 386 Altair 8800 Apple II Quantum Computing IBM AT provides combined value IBM PC Pentium IV Pentium III Pentium II Cannonlake Core i7 quad AMD A8 Pentium G4400 Core 2 duo Core i3 Geometric scaling is the base Pentium upon which other innovation Q1 Q3 Q2 Quantum? Neuromorphic? DNA? Icelake Kaby Lake 1 nm transistors? Base CMOS geometric scaling Slide Source: John Kelly III, IBM, December 2015 Moore s Law ASML is founded today

21 Storage Memory Storage Class Memory Performance Memory Logic Industry Shrink Roadmap & EUV insertion HVM EUV Production Insertion Window Slide 21 20nm 16-14nm 10nm 7nm 5nm 3nm Node name DRAM X 1Y 1Z next Minimum half pitch PC-RAM, ReRAM, X-point etc. 2X /x2 1X /x4 1Y /x8 1Z /x8 Minimum half pitch /x number of layers Planar Floating Gate NAND D NAND Today s status Production 1 Development 1 Research 1 Roadmap 2 x24 x32 x48 x64 >x96 >x128 >x192 >x200 x number of layers Source: 1) Customers - public statements, IC Knowledge LLC; 2) ASML extrapolations

22 ASML s TWINSCAN family - a solution for any resolution at the right price Slide 22 EUV ArF immersion ArF dry KrF I-line Resolution <16nm >350 nm Sales price >100 million 5 million

23 ASML s Holistic Lithography: Unique integrated patterning control solutions 1 Slide 23 Lithography scanner, onboard metrology and advanced controls Etch and deposition tools Process Window Enhancement Process Window Control 3 Computational Lithography Process Window Detection 2 Metrology

24 Agenda Slide 24 Who is ASML What s happening in our industry? What are we doing about it? What will it cost? How will we make money?

25 ASML invests in Technology Leadership to enable shrink with resulting cost reduction for our customers Slide 25 ASML invests well over 1B /year in R&D Investments in R&D and CAPEX grew at a CAGR of 16% over the past 5 years Cymer acquisition (3.0B ) Supporting EUV HMI acquisition (2.8B ) Supporting Holistic Litho In addition: made strategic acquisitions enabling EUV and Holistic Lithography

26 Slide 26 Agenda Who is ASML What s happening in our industry? What are we doing about it? What will it cost? How will we make money?

27 wafer starts per month, k ASML s Business Three key drivers: 2) IC unit growth More wafers processed per unit time (wpm) Slide 27 1) Technology Node transitions New tool requirements drive ASP growth 32/28 nm 20/16 nm Wafer output capacity Time 3) Market share gains 100% ASML market share growth % 0%

28 1) Technology node Transitions - Lithography intensity is increasing Required number of systems per node for a given capacity logic 20/16/14 nm (FinFET) logic 10nm all ArFi (critical layers) logic 7nm (7 EUV exposures) Slide 28 Logic / MPU 45K wafers/month 2xL nm DRAM 1xH nm DRAM 1xM nm DRAM Performance memory 100K wafers/month 3D-NAND 1 Tier 3D-NAND 2 Tiers 3D-NAND 3 Tiers Storage memory 120K wafers/month EUV ArFi ArF KrF i-line

29 2) More wafers processed per unit time.growing end markets require growth of fab capacity Slide 29 PCs and laptops Smartphones and tablets Servers IoT Other 2 Logic & MPU CAGR +9% p.a. Performance memory % p.a. Worldwide fab capacity in million wafers per month Storage memory % p.a Advanced Logic and MPU nodes only 32nm; 2 Including but not limited to: Consumer, Automotive, Industrial, Computer graphics, FPGA Source: Gartner, ASML model

30 2) IC unit growth drives litho demand unit growth back to historic levels Slide 30 Source: WSTS, Last data point June 2017

31 Continuation of Moore s Law and New Market opportunities support another many years of growth Slide 31 Total addressable market, B Capacity for new technology nodes Litho Systems in all segments will continue to be the main driver for our litho systems, 6 including over time High NA EUV ~10 >15 Installed base services Growing installed base drive demand for our services and options (installed base revenue) and options >5.0 Source: ASML, excluding HMI business. Presented at ASML Investor Day October 31, 2016

32 ASML Financial Model Target delivering greater than >9 in earnings per share Slide 32 Presented at ASML Investor Day Oct 31, 2016 Total Sales Gross margin % R&D % sales SG&A % sales Capex % sales Cash Conversion Cycle Effective Tax Rate EPS 2020 Model 11B >50% ~13% ~4% ~4% <200days ~14% >9

33 Returned >7B since 2006 and expect to continue to return excess cash to our shareholders in line with our policy Slide 33 First priority: liquidity and maintaining financial stability throughout the cycle Maintain strong gross cash balance (currently minimum B ) Maintain a capital structure that supports a solid investment grade credit rating Consider M&A with a clear value proposition to our stakeholders Return excess cash to our shareholders through dividends, preferably stable or growing over time and regular share buybacks in line with our policy 2008 Share-buy back Dividend

34 Summary Slide 34 Past investments created shareholder value Continuing growth We have invested significantly in technology leadership over the last five years creating high barriers to entry and significant shareholder value We service a growing industry that is expected to keep innovating and expanding We will continue to add value through the delivery of our products and services roadmap enabling our customers to achieve cost effective shrink Financial opportunity We have an opportunity to grow our annual revenue to ~11B in 2020 and to raise EPS to >9 driven by leverage in our financial model Continued shareholder value creation We expect to continue to return excess cash to our shareholders through dividends that are stable or growing and regularly timed share buybacks in line with our policy

35

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014 DUV Matthew McLaren Vice President Program Management, DUV 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking,

More information

Enabling Semiconductor Innovation and Growth

Enabling Semiconductor Innovation and Growth Enabling Semiconductor Innovation and Growth EUV lithography drives Moore s law well into the next decade BAML 2018 APAC TMT Conference Taipei, Taiwan Craig De Young Vice President IR - Asia IR March 14,

More information

EUV Supporting Moore s Law

EUV Supporting Moore s Law EUV Supporting Moore s Law Marcel Kemp Director Investor Relations - Europe DB 2014 TMT Conference London September 4, 2014 Forward looking statements This document contains statements relating to certain

More information

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Craig De Young Vice President Investor Relations Taipei, Taiwan March 12, 2013 Forward looking statements Slide 2 Safe Harbor Statement

More information

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm SEMICON West, San Francisco July 14-18, 2008 Slide 1 The immersion pool becomes an ocean

More information

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven Public Introduction to ASML Ron Kool SVP Corporate Strategy and Marketing March-2015 Veldhoven 1991 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012

More information

21 st Annual Needham Growth Conference

21 st Annual Needham Growth Conference 21 st Annual Needham Growth Conference Investor Presentation January 15, 2019 Safe Harbor Statement The information contained in and discussed during this presentation may include forward-looking statements

More information

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1 Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, 2003 / Slide 1 Safe Harbor Safe Harbor Statement under the U.S. Private Securities Litigation

More information

Mid/Long-Term Management Policy

Mid/Long-Term Management Policy Mid/Long-Term Management Policy Grand Design & Mid-Term Plan FY2018~FY2020 Yoshiaki Yoshida Representative Director & CEO Advantest Corporation April 26 th, 2018 Objectives of Grand Design and Mid-Term

More information

Competitive in Mainstream Products

Competitive in Mainstream Products Competitive in Mainstream Products Bert Koek VP, Business Unit manager 300mm Fabs Analyst Day 20 September 2005 ASML Competitive in mainstream products Introduction Market share Device layers critical

More information

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004 ASML Market dynamics Dave Chavoustie EVP Sales Analyst Day, September 30, 2004 Agenda! Market Overview! Growth Opportunities! 300mm Market! Asia Overview / Slide 2 ASML Unit Market Share Trend 60% 12 &

More information

Facing Moore s Law with Model-Driven R&D

Facing Moore s Law with Model-Driven R&D Facing Moore s Law with Model-Driven R&D Markus Matthes Executive Vice President Development and Engineering, ASML Eindhoven, June 11 th, 2015 Slide 2 Contents Introducing ASML Lithography, the driving

More information

Leadership Through Innovation Litho for the future

Leadership Through Innovation Litho for the future Leadership Through Innovation Litho for the future Deutsche Bank Access Asia Conference 2010 Singapore Craig De Young VP Investor Relations and Corporate Communications May 12, 2010 Public Safe Harbor

More information

THE NEXT WAVE OF COMPUTING. September 2017

THE NEXT WAVE OF COMPUTING. September 2017 THE NEXT WAVE OF COMPUTING September 2017 SAFE HARBOR Forward-Looking Statements Except for the historical information contained herein, certain matters in this presentation including, but not limited

More information

Day One 13 March Day Two 14 March 2019

Day One 13 March Day Two 14 March 2019 GSEF 2019 Advisory Board Ralph Lauxmann, Senior Vice President Systems & Technology, Continental Automotive Hans Adlkofer, Vice President Systems Group, The Automotive Division, Infineon Technologies Hai

More information

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors Mark Bohr Intel Senior Fellow Logic Technology Development Kaizad Mistry 45 nm Program Manager Logic Technology Development

More information

GSEF 2019 Advisory Board

GSEF 2019 Advisory Board GSEF 2019 Advisory Board Ralph Lauxmann, Senior Vice President Systems & Technology, Continental Automotive Hans Adlkofer, Vice President Systems Group, The Automotive Division, Infineon Technologies Hai

More information

Siltronic a leading producer of silicon wafers. Fact Book Investor Relations May 2018

Siltronic a leading producer of silicon wafers. Fact Book Investor Relations May 2018 Siltronic a leading producer of silicon wafers Fact Book Investor Relations May 2018 Siltronic AG 2018 BUSINESS ENVIRONMENT.operating in a continuous growing and improving environment.. Siltronic AG 2018

More information

Half-Year Press Conference

Half-Year Press Conference Half-Year Press Conference 11 May 2017 Prof. Dr. Michael Kaschke President & CEO ZEISS Group, Half-Year Press Conference 2016/17 Thomas Spitzenpfeil Chief Financial Officer 11 May 2017 Prof. Dr. Michael

More information

Objectives of Grand Design and Mid-Term Plan

Objectives of Grand Design and Mid-Term Plan 1 Objectives of Grand Design and Mid-Term Plan New technologies such as AI, the IoT, 5G communication, self-driving cars and other innovations are gaining ground every day. I am convinced that the progress

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

Accelerating Collective Innovation: Investing in the Innovation Landscape

Accelerating Collective Innovation: Investing in the Innovation Landscape PCB Executive Forum Accelerating Collective Innovation: Investing in the Innovation Landscape How a Major Player Uses Internal Venture Program to Accelerate Small Players with Big Ideas Dr. Joan K. Vrtis

More information

SEMI Connects: An Overview of SEMI Worldwide. Theresia Fasinski - Manager Membership Relations, SEMI Europe

SEMI Connects: An Overview of SEMI Worldwide. Theresia Fasinski - Manager Membership Relations, SEMI Europe SEMI Connects: An Overview of SEMI Worldwide Theresia Fasinski - Manager Membership Relations, SEMI Europe SEMI Connects to Advance a Global Industry Mission SEMI provides industry stewardship and engages

More information

It s Time for 300mm Prime

It s Time for 300mm Prime It s Time for 300mm Prime Iddo Hadar Managing Director, 300mm Prime Program Office SEMI Strategic Business Conference Napa Valley, California Tuesday, April 24, 2007 Safe Harbor Statement This presentation

More information

Roadmap Semiconductor Equipment Innovation Agenda

Roadmap Semiconductor Equipment Innovation Agenda Roadmap Semiconductor Equipment Innovation Agenda 2018-2021 1. Societal and economic relevance Over the years, electronics have become an inseparable part of our lives. Think of the internet, the cloud,

More information

Rick Clemmer Media briefing in China. Rick Clemmer, President & CEO NXP Semiconductors March 19, 2012 Shanghai

Rick Clemmer Media briefing in China. Rick Clemmer, President & CEO NXP Semiconductors March 19, 2012 Shanghai Rick Clemmer Media briefing in China Rick Clemmer, President & CEO NXP Semiconductors March 19, 2012 Shanghai Today s agenda NXP update Share our vision Zoom in China 2 NXP Semiconductors NXP Semiconductors

More information

Credit Suisse European Technology Conference 2008

Credit Suisse European Technology Conference 2008 Credit Suisse European Technoogy Conference 2008 ASML continues to execute its eadership strategy and expects gradua order pick-up Franki D Hoore Director European Investor Reations London, 15 May 2008

More information

Global Artificial Intelligence (AI) Semiconductor Market: Size, Trends & Forecasts ( ) August 2018

Global Artificial Intelligence (AI) Semiconductor Market: Size, Trends & Forecasts ( ) August 2018 Global Artificial Intelligence (AI) Semiconductor Market: Size, Trends & Forecasts (2018-2022) August 2018 Global Artificial Intelligence (AI) Semiconductor Market: Coverage Executive Summary and Scope

More information

GIGAPHOTON INTRODUCTION

GIGAPHOTON INTRODUCTION GIGAPHOTON INTRODUCTION 15 th September 2017 Tatsuo Enami Director and Senior Executive Officer GIGAPHOTON Copyright Gigaphoton Inc. Outline of Gigaphoton Business Light source business

More information

Halliburton and Baker Hughes Creating the leading oilfield services company

Halliburton and Baker Hughes Creating the leading oilfield services company Halliburton and Baker Hughes Creating the leading oilfield services company Halliburton Investor Relations Contacts: Kelly Youngblood, Vice President Scott Danby, Manager 281.871.2688 or investors@halliburton.com

More information

Nikon Medium Term Management Plan

Nikon Medium Term Management Plan NIKON CORPORATION Mar.30,2006 Nikon Medium Term Management Plan March 30, 2006 NIKON CORPORATION This presentation contains forward-looking statements with respect to future results, performance and achievements

More information

SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK

SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK Factbook 2014 SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK INTRODUCTION The data included in the 2014 SIA Factbook helps demonstrate the strength and promise of the U.S. semiconductor industry and why it

More information

Beyond Moore the challenge for Europe

Beyond Moore the challenge for Europe Beyond Moore the challenge for Europe Dr. Alfred J. van Roosmalen Vice-President Business Development, NXP Semiconductors Company member of MEDEA+/CATRENE/AENEAS/Point-One FIT-IT 08 Spring Research Wien,

More information

Recent Trends in Semiconductor IC Device Manufacturing

Recent Trends in Semiconductor IC Device Manufacturing Recent Trends in Semiconductor IC Device Manufacturing August 2007 Dr. Stephen Daniels Executive Director National Centre for Plasma Moore s Law Moore s First Law Chip Density will double ever 18months.

More information

HY2015. Disciplined performance management. Redefining the future for people and places 1. Attractive growth fundamentals & opportunities

HY2015. Disciplined performance management. Redefining the future for people and places 1. Attractive growth fundamentals & opportunities HY2015 Attractive growth fundamentals & opportunities Disciplined performance management Redefining the future for people and places 1 Overview Resilient underlying performance HY2015 Headline EPS 31.3p

More information

KKR & Co. Inc. Goldman Sachs U.S. Financial Services Conference December 4, 2018

KKR & Co. Inc. Goldman Sachs U.S. Financial Services Conference December 4, 2018 KKR & Co. Inc. Goldman Sachs U.S. Financial Services Conference December 4, 2018 KKR Today Private Markets Public Markets Capital Markets Principal Activities $104bn AUM $91bn AUM Global Franchise $19bn

More information

The Development of the Semiconductor CVD and ALD Requirement

The Development of the Semiconductor CVD and ALD Requirement The Development of the Semiconductor CVD and ALD Requirement 1 Linx Consulting 1. We create knowledge and develop unique insights at the intersection of electronic thin film processes and the chemicals

More information

Confirms 2013 Financial Guidance

Confirms 2013 Financial Guidance Confirms 2013 Financial Guidance PROVIDENCE, R.I.--(BUSINESS WIRE)--Jul. 17, 2013-- Textron Inc. (NYSE: TXT) today reported second quarter 2013 income from continuing operations of $0.40 per share, compared

More information

Farnborough Airshow Farnborough Air Show Investor Relations Technology Seminar 2018 Rolls-Royce

Farnborough Airshow Farnborough Air Show Investor Relations Technology Seminar 2018 Rolls-Royce 2018 Farnborough Airshow Paul Stein Chief Technology Officer Pioneering the power that matters 19,400 engineers across the business Global presence in 50 countries Support a Global network 31 University

More information

Investor Presentation. November 2018

Investor Presentation. November 2018 Investor Presentation November 2018 KKR Today Private Markets Public Markets Capital Markets Principal Activities $104bn AUM $91bn AUM Global Franchise $19bn of Assets $81bn Private Equity, Growth Equity

More information

2 nd Quarter Earnings Conference Call

2 nd Quarter Earnings Conference Call 2 nd Quarter Earnings Conference Call KKR & Co. Inc. Investor Update July 26, 2018 Recent Milestones K-1 $ Converted to a Corporation on July 1, 2018 Investor Day held on July 9, 2018 2 Key Metrics Assets

More information

Textron Reports Third Quarter 2014 Income from Continuing Operations of $0.57 per Share, up 62.9%; Revenues up 18.1%

Textron Reports Third Quarter 2014 Income from Continuing Operations of $0.57 per Share, up 62.9%; Revenues up 18.1% Textron Reports Third Quarter Income from Continuing Operations of $0.57 per Share, up 62.9%; Revenues up 18.1% 10/17/ PROVIDENCE, R.I.--(BUSINESS WIRE)-- Textron Inc. (NYSE: TXT) today reported third

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information

Textron Reports Second Quarter 2014 Income from Continuing Operations of $0.51 per Share, up 27.5%; Revenues up 23.5%

Textron Reports Second Quarter 2014 Income from Continuing Operations of $0.51 per Share, up 27.5%; Revenues up 23.5% Textron Reports Second Quarter 2014 Income from Continuing Operations of $0.51 per Share, up 27.5%; Revenues up 23.5% 07/16/2014 PROVIDENCE, R.I.--(BUSINESS WIRE)-- Textron Inc. (NYSE: TXT) today reported

More information

Bringing More to the Table

Bringing More to the Table Bringing More to the Table TM During this presentation and the Q&A session, we may be making forward-looking statements that reflect certain risks and uncertainties associated with Shuffle Master s business.

More information

Limitations and Challenges to Meet Moore's Law

Limitations and Challenges to Meet Moore's Law Limitations and Challenges to Meet Moore's Law Sept 10, 2015 Sung Kim sung_kim@amat.com State of the art: cleanroom toolsets metrology analysis module development test & reliability Introduction Why do

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing The Intel Lithography Roadmap A compiled version of all papers from this issue

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

Capital One Securities, Inc.

Capital One Securities, Inc. Capital One Securities, Inc. 10 th Annual Energy Conference December 9, 2015 New Orleans, LA Alan R. Curtis SVP and Chief Financial Officer Oceaneering International, Inc. Safe Harbor Statement Statements

More information

Shell s Journey to Mobility

Shell s Journey to Mobility Shell s Journey to Mobility Speakers: Yorinde Knegtering Business Analyst, IT Produce Hydrocarbons Prabhat Mishra Product Owner, PI Center of Excellence Definitions & cautionary note Reserves: Our use

More information

Textron Reports Third Quarter 2018 Results; Narrows Full-Year EPS and Cash Guidance

Textron Reports Third Quarter 2018 Results; Narrows Full-Year EPS and Cash Guidance Corporate Communications Department NEWS Release Textron Reports Third Quarter 2018 Results; Narrows Full-Year EPS and Cash Guidance $468 million returned to shareholders through share repurchases Completed

More information

2010 IRI Annual Meeting R&D in Transition

2010 IRI Annual Meeting R&D in Transition 2010 IRI Annual Meeting R&D in Transition U.S. Semiconductor R&D in Transition Dr. Peter J. Zdebel Senior VP and CTO ON Semiconductor May 4, 2010 Some Semiconductor Industry Facts Founded in the U.S. approximately

More information

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November 2006 Forward Looking Statement The presentation today may

More information

Textron Reports First Quarter 2016 Income from Continuing Operations of $0.55 per Share, up 19.6%; Reaffirms 2016 Financial Outlook

Textron Reports First Quarter 2016 Income from Continuing Operations of $0.55 per Share, up 19.6%; Reaffirms 2016 Financial Outlook Textron Reports First Quarter 2016 Income Continuing Operations of $0.55 per Share, up 19.6%; Reaffirms 2016 Financial Outlook April 20, 2016 06:30 AM Eastern Daylight Time PROVIDENCE, R.I.--(BUSINESS

More information

We attended Flextronics recent investor meeting, in which the company outlined opportunities in the Age of Intel igence, in which things wil be

We attended Flextronics recent investor meeting, in which the company outlined opportunities in the Age of Intel igence, in which things wil be May 10, 2015 Notes from Flextronics Investor Day: Sketch-to- Scale in the Age of Intelligence We attended Flextronics recent investor meeting, in which the company outlined opportunities in the Age of

More information

The SEMATECH Model: Potential Applications to PV

The SEMATECH Model: Potential Applications to PV Continually cited as the model for a successful industry/government consortium Accelerating the next technology revolution The SEMATECH Model: Potential Applications to PV Dr. Michael R. Polcari President

More information

Core Business: Semiconductor-related Inspection Equipment

Core Business: Semiconductor-related Inspection Equipment Core Business: Semiconductor-related Inspection Equipment Lasertec manufactures unique inspection and measurement systems that incorporate the cutting-edge technologies of applied optics and offers them

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Update: SOI Wafer Market Continues Its Growth

Update: SOI Wafer Market Continues Its Growth Gartner Dataquest Alert Update: SOI Wafer Market Continues Its Growth The results of Gartner Dataquest's latest survey of the silicon on insulator (SOI) wafer market indicate demand grew 16 percent in

More information

Infineon at a glance

Infineon at a glance Infineon at a glance 2017 www.infineon.com We make life easier, safer and greener with technology that achieves more, consumes less and is accessible to everyone. Microelectronics from Infineon is the

More information

Operational Intelligence to Deliver Smart Solutions. Copyright 2015 OSIsoft, LLC

Operational Intelligence to Deliver Smart Solutions. Copyright 2015 OSIsoft, LLC Operational Intelligence to Deliver Smart Solutions Presented by John de Koning DEFINITIONS AND CAUTIONARY NOTE Reserves: Our use of the term reserves in this presentation means SEC proved oil and gas

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

4 th Quarter Earnings Conference Call

4 th Quarter Earnings Conference Call 4 th Quarter Earnings Conference Call KKR & Co. L.P. Investor Update February 8, 2018 4Q17 Reflections Fundamentals Are Strong (Dollars in millions, except per unit amounts and unless otherwise stated)

More information

Investor Presentation

Investor Presentation Connecting What s Needed with What s Next Investor Presentation September 2017 Forward-Looking Statements Statements we make in this presentation that express a belief, expectation, or intention are forward

More information

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp. 450mm silicon wafers specification challenges Mike Goldstein Intel Corp. Outline Background 450mm transition program 450mm silicon evolution Mechanical grade wafers (spec case study) Developmental (test)

More information

Artificial Intelligence and Robotics Getting More Human

Artificial Intelligence and Robotics Getting More Human Weekly Barometer 25 janvier 2012 Artificial Intelligence and Robotics Getting More Human July 2017 ATONRÂ PARTNERS SA 12, Rue Pierre Fatio 1204 GENEVA SWITZERLAND - Tel: + 41 22 310 15 01 http://www.atonra.ch

More information

Harnessing the 4th Industrial Revolution. Professor Mark Esposito Harvard University & Nexus

Harnessing the 4th Industrial Revolution. Professor Mark Esposito Harvard University & Nexus Harnessing the 4th Industrial Revolution Professor Mark Esposito Harvard University & Nexus FrontierTech @Exp_Mark Technology Foresight Series Summary 4 th Industrial Revolution Five emerging technologies

More information

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research Global 450mm Consortium at CNSE Michael Liehr, General Manager G450C, Vice President for Research - CNSE Overview - G450C Vision - G450C Mission - Org Structure - Scope - Timeline The Road Ahead for Nano-Fabrication

More information

Road to Smart City. From lamppost to multi-purpose smart public hub. Bouwfonds Investment Management Oktober 2017

Road to Smart City. From lamppost to multi-purpose smart public hub. Bouwfonds Investment Management Oktober 2017 Road to Smart City From lamppost to multi-purpose smart public hub Bouwfonds Investment Management Oktober 2017 Agenda Vision: from lamppost to smart public hub The investment opportunity Summary and conclusions

More information

THE INTELLIGENT REFINERY

THE INTELLIGENT REFINERY THE INTELLIGENT REFINERY DIGITAL. DISTILLED. DIGITAL REFINING SURVEY 2018 THE INTELLIGENT REFINERY SURVEY explained This deck provides highlights from the second annual Accenture Digital Refining Survey,

More information

"L avenir est comme le reste il n est plus ce qu il était Paul Valery, Notre Destin et Les Lettres, 1937)"

L avenir est comme le reste il n est plus ce qu il était Paul Valery, Notre Destin et Les Lettres, 1937) "L avenir est comme le reste il n est plus ce qu il était Paul Valery, Notre Destin et Les Lettres, 1937)" Yan Borodovsky SPIE Fellow Leti Alternative Lithography Workshop, March 1, 2018, San Jose, CA,

More information

A European Perspective for Electronic Industry in Latin America

A European Perspective for Electronic Industry in Latin America A European Perspective for Electronic Industry in Latin America François Guibert Corporate Vice President, Emerging Markets Region General Manager Electronic, a Global World Security Networking Consumer

More information

ASEAN: A Growth Centre in the Global Economy

ASEAN: A Growth Centre in the Global Economy Bank Negara Malaysia Governor Dr. Zeti Akhtar Aziz Speech at the ASEAN SME Conference 2015 It is my pleasure to be here this afternoon to speak at this inaugural ASEAN SME Conference. This conference takes

More information

THE STARS GROUP 2017 ANNUAL AND SPECIAL MEETING MANAGEMENT PRESENTATION MAY 10, 2018, TORONTO, 11:30 (ET)

THE STARS GROUP 2017 ANNUAL AND SPECIAL MEETING MANAGEMENT PRESENTATION MAY 10, 2018, TORONTO, 11:30 (ET) Please Note: THE STARS GROUP 2017 ANNUAL AND SPECIAL MEETING MANAGEMENT PRESENTATION MAY 10, 2018, TORONTO, 11:30 (ET) Some of our comments today will contain forward-looking information and statements

More information

Semiconductors: A Strategic U.S. Advantage in the Global Artificial Intelligence Technology Race

Semiconductors: A Strategic U.S. Advantage in the Global Artificial Intelligence Technology Race Semiconductors: A Strategic U.S. Advantage in the Global Artificial Intelligence Technology Race Falan Yinug, Director, Industry Statistics & Economic Policy, Semiconductor Industry Association August

More information

KKR & Co. L.P. Morgan Stanley Financials Conference: June 13, 2018

KKR & Co. L.P. Morgan Stanley Financials Conference: June 13, 2018 KKR & Co. L.P. Morgan Stanley Financials Conference: June 13, 2018 ($ in trillions) KKR Growth in Alternative Asset Market Share KKR AUM +20% CAGR +21% CAGR Alternative AUM +12% CAGR $190 (1) ($ in billions)

More information

Menu. Analog and Embedded Processing. TI at a glance. Innovation. Manufacturing. University and student engagement. Our commitment and values.

Menu. Analog and Embedded Processing. TI at a glance. Innovation. Manufacturing. University and student engagement. Our commitment and values. Menu TI at a glance Analog and Embedded Processing Innovation Manufacturing Markets Financials University and student engagement Our commitment and values TI at a glance Global semiconductor design and

More information

[Overview of the Consolidated Financial Results]

[Overview of the Consolidated Financial Results] 0 1 [Overview of the Consolidated Financial Results] 1. Consolidated revenue totaled 5,108.3 billion yen, increased by 581.1 billion yen (+12.8%) from the previous year. 2. Consolidated operating profit

More information

SMART MANUFACTURING: 7 ESSENTIAL BUILDING BLOCKS

SMART MANUFACTURING: 7 ESSENTIAL BUILDING BLOCKS SMART MANUFACTURING: 7 ESSENTIAL BUILDING BLOCKS SMART MANUFACTURING INDUSTRY REPORT Vol 1 No 2. Advancing Smart Manufacturing The top two challenges for manufacturers implementing Smart Manufacturing

More information

Marvin J. Migura. Oceaneering International, Inc. Executive Vice President. Safe Harbor Statement

Marvin J. Migura. Oceaneering International, Inc. Executive Vice President. Safe Harbor Statement July 1, 2015 - Houston, TX Marvin J. Migura Executive Vice President Oceaneering International, Inc. Safe Harbor Statement Statements we make in this presentation that express a belief, expectation, or

More information

Dynamic Semiconductor Years

Dynamic Semiconductor Years Dynamic Semiconductor Years PSMC Meeting April 25-27 Director IHS Markit Technology 15 Inverness Way East Englewood, CO 80112 P: +1 303 988 2206 2 IHS Markit Addressing strategic challenges with interconnected

More information

Legacy & Leading Edge Both are Winners

Legacy & Leading Edge Both are Winners Legacy & Leading Edge Both are Winners Semicon CMP User Group July 16, 2015 Sue Davis 408-833-5905 CMP Team Contributors: Mike Fury, Ph.D. Karey Holland, Ph.D. Jerry Yang, Ph.D. www.techcet.com 1 Outline

More information

4 th Quarter Earnings Conference Call

4 th Quarter Earnings Conference Call 4 th Quarter Earnings Conference Call KKR & Co. Inc. Investor Update February 1, 2019 Key Metrics Assets Under Management Management Fees ($ in billions) ($ in millions) $195 $1,069 $168 $905 $100 $107

More information

IMPACT OF 450MM ON CMP

IMPACT OF 450MM ON CMP IMPACT OF 450MM ON CMP MICHAEL CORBETT MANAGING PARTNER LINX CONSULTING, LLC MCORBETT@LINX-CONSULTING.COM PREPARED FOR CMPUG JULY 2011 LINX CONSULTING Outline 1. Overview of Linx Consulting 2. CMP Outlook/Drivers

More information

Transition PPT Template. J.P. Morgan. June 2015 V 3.0. Energy Equity Conference June 27, 2017

Transition PPT Template. J.P. Morgan. June 2015 V 3.0. Energy Equity Conference June 27, 2017 Transition PPT Template J.P. Morgan June 2015 V 3.0 Energy Equity Conference 2017 June 27, 2017 Forward-Looking Statements This presentation contains forward-looking statements, including, in particular,

More information

2Q 2016 Results. Mermaid Maritime Plc. August 2016

2Q 2016 Results. Mermaid Maritime Plc. August 2016 Mermaid Maritime Plc 2Q 2016 Results August 2016 Disclaimer: The information contained in this document is intended only for use during the presentation and should not be disseminated or distributed to

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions. Introduction - Chapter 1 Evolution of IC Fabrication 1960 and 1990 integrated t circuits. it Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity

More information

Topics and Abstracts: Market Trends Briefing (Chairperson)

Topics and Abstracts: Market Trends Briefing (Chairperson) (Chairperson) Session Chair Ms. Bettina WEISS SEMI (Global Headquarters), USA Vice President, Business Development and Product Management As Vice President of Business Development and Product Management,

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Shell Project Delivery Best Practices Dick L. Wynberg, GM NOV Projects Integrated Gas Shell Global Solutions International B.V

Shell Project Delivery Best Practices Dick L. Wynberg, GM NOV Projects Integrated Gas Shell Global Solutions International B.V Shell Project Delivery Best Practices Dick L. Wynberg, GM NOV Projects Integrated Gas Shell Global Solutions International B.V SEPTEMBER 26, 2017, ST. PETERSBURG, HOTEL ASTORIA Definitions and cautionary

More information

Sparking a New Economy. Canada s Advanced Manufacturing Supercluster

Sparking a New Economy. Canada s Advanced Manufacturing Supercluster Sparking a New Economy Canada s Advanced Manufacturing Supercluster Canada s Advanced Manufacturing Supercluster Canada's Advanced Manufacturing Supercluster Strategy will leverage Canada s innovation

More information

A FORWARD- LOOKING VIEW on how analytics will solve some pressing business, consumer and social insight problems.

A FORWARD- LOOKING VIEW on how analytics will solve some pressing business, consumer and social insight problems. A FORWARD- LOOKING VIEW on how analytics will solve some pressing business, consumer and social insight problems. Prabir Sen, Chief Management Scientist, Accenture Adjunct Professor SMU psen@smu.edu.sg

More information

u-blox acquires Fastrax

u-blox acquires Fastrax u-blox acquires Fastrax 30 October 2012 locate, communicate, accelerate Disclaimer This presentation contains certain forward-looking statements. Such forwardlooking statements reflect the current views

More information

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS LUC VAN DEN HOVE President & CEO imec OUTLINE! Industry drivers! Roadmap extension! Lithography options! Innovation through global collaboration

More information

Lithography Industry Collaborations

Lithography Industry Collaborations Accelerating the next technology revolution Lithography Industry Collaborations SOKUDO Breakfast July 13, 2011 Stefan Wurm SEMATECH Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered

More information

ACACIA RESEARCH GROUP LLC

ACACIA RESEARCH GROUP LLC ACACIA RESEARCH GROUP LLC ACACIA UNLOCKING RESEARCH patent GROUP, POTENTIAL LLC NASDAQ: ACTG A Subsidiary of Acacia Research Corporation Forward Looking Statements This presentation contains forward looking

More information

4Q February 2011

4Q February 2011 4Q 2010 28 February 2011 2 Disclaimer forward looking statements This presentation contains forward-looking statements that involve risks and uncertainties. All statements other than statements of historical

More information

M. Kevin McEvoy. Oceaneering International, Inc. President & CEO. December 2, 2014 New York, NY. Safe Harbor Statement

M. Kevin McEvoy. Oceaneering International, Inc. President & CEO. December 2, 2014 New York, NY. Safe Harbor Statement December 2, 2014 New York, NY M. Kevin McEvoy President & CEO Oceaneering International, Inc. Safe Harbor Statement Statements we make in this presentation that express a belief, expectation, or intention

More information

Operational Intelligence to deliver Smart Solutions

Operational Intelligence to deliver Smart Solutions Operational Intelligence to deliver Smart Solutions Presented by John de Koning Shell Global Solutions DEFINITIONS AND CAUTIONARY NOTE Reserves: Our use of the term reserves in this presentation means

More information