Core Business: Semiconductor-related Inspection Equipment

Size: px
Start display at page:

Download "Core Business: Semiconductor-related Inspection Equipment"

Transcription

1 Core Business: Semiconductor-related Inspection Equipment Lasertec manufactures unique inspection and measurement systems that incorporate the cutting-edge technologies of applied optics and offers them to customers worldwide. Our core business is the manufacture and sale of semiconductor-related inspection equipment.

2 1 Ubiquitous semiconductor devices Semiconductor devices are used in almost every electronic device and industrial product around us today. Their applications span a wide range of areas, from consumer products such as smartphones, PCs, TVs, and air-conditioning systems, to cars and trains, and to credit cards and digital currencies. Communication products Smartphone Telephone Tablet PC TV Automotive Digital camera Game console Surveillance camera Semiconductor Device Air-conditioning system Home electronics Train Industrial robot Washing machine Microwave oven 太陽光発電 Solar cell Vending machine Infrastructure and industrial equipment

3 2 Lasertec plays an important role behind the scenes Semiconductor devices are manufactured using photomasks, which serve as the source of original patterns for integrated circuits. Lasertec s inspection systems are designed to optically inspect photomasks and their pre-patterned substrates called mask blanks. 1 2 Mask Substrate Mask Blank (Blank) A glass plate from which a mask blank is made. A plate with light-shield metal coating applied to the surface of a mask substrate. A photomask is made from a mask blank. 3 Photomask (Mask) A plate with a circuit pattern defined on the surface of a mask blank. It provides the original pattern to be transferred to wafers in lithography. 4 Wafer Lithography A circular and thin semiconductor material made by slicing a crystalline silicon ingot extruded in a cylindrical shape. Circuit patterns are printed on a wafer in lithography to form integrated circuits. 5 Semiconductor Device Once integrated circuits are formed, the wafer is diced into individual chips. Each chip is then sealed with resin.

4 3 Mask to Semiconductor Device As electronic devices become more downsized and better performing, more masks are required. Photomask (Mask) Lithography Lithography Mask Reduction optics Light source Wafer Wafer Semiconductor device Electronic device The original circuit pattern on the mask is transferred to wafers in lithography using reduction optics. The process is repeated many times with different masks to print multiple-layer patterns on each wafer to create semiconductor devices.

5 4 Size of defects detected by Lasertec s inspection systems 1m Capybara (large rodent) 1m Semiconductor Mask Blanks Inspection System Semiconductor Mask Inspection System 1mm Water flea 2mm Hair 100µm 1µm E. coli 1µm Defect on blank material Defect on patterned mask 5µm Influenza virus 100nm 1nm DNA 2nm One nanometer is a billionth of one meter.

6 5 Semiconductor devices will be used in greater numbers. Mobile / IoT Data Center AI Automotive Various things connected to the Internet Massive data storage Emergence of artificial intelligence Electric vehicles, onboard electronics, and self-driving cars The expansion of these four areas will dramatically increase the demand for semiconductor devices. Logic devices for calculation and image processing Image sensors for light sensing and imaging Memories for data storage Power devices for optimum power conversion and control As the scope of semiconductor application expands and the demand for semiconductor devices increases, inspection systems will be required in greater numbers. Lasertec will offer the cutting-edge technologies of inspection and metrology to meet the requirement and contribute to society.

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE Plan Optik AG Plan Optik AG PRODUCT CATALOGUE 2 In order to service the high demand of wafers more quickly, Plan Optik provides off the shelf products in sizes from 2 up to 300mm diameter. Therefore Plan

More information

Advanced Packaging Solutions

Advanced Packaging Solutions Advanced Packaging Solutions by USHIO INC. USHIO s UX Series Providing Advanced Packaging Solutions Page 2 USHIO s UX Series Models Featured @ SEMICON West 2013 Page 2 Large-Size Interposer Stepper UX7-3Di

More information

Nanotechnology, the infrastructure, and IBM s research projects

Nanotechnology, the infrastructure, and IBM s research projects Nanotechnology, the infrastructure, and IBM s research projects Dr. Paul Seidler Coordinator Nanotechnology Center, IBM Research - Zurich Nanotechnology is the understanding and control of matter at dimensions

More information

Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays. Keith Best Roger McCleary Elvino M da Silveira 5/19/17

Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays. Keith Best Roger McCleary Elvino M da Silveira 5/19/17 Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays Keith Best Roger McCleary Elvino M da Silveira 5/19/17 Agenda About Rudolph JetStep G System overview and performance Display

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

GSEF 2019 Advisory Board

GSEF 2019 Advisory Board GSEF 2019 Advisory Board Ralph Lauxmann, Senior Vice President Systems & Technology, Continental Automotive Hans Adlkofer, Vice President Systems Group, The Automotive Division, Infineon Technologies Hai

More information

SOLAR CELL INSPECTION WITH RAPTOR PHOTONICS OWL (SWIR) AND FALCON (EMCCD)

SOLAR CELL INSPECTION WITH RAPTOR PHOTONICS OWL (SWIR) AND FALCON (EMCCD) Technical Note Solar Cell Inspection SOLAR CELL INSPECTION WITH RAPTOR PHOTONICS OWL (SWIR) AND FALCON (EMCCD) August 2012, Northern Ireland Solar cell inspection relies on imaging the photoluminescence

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE Executive Summary Jay Sasserath, PhD Intelligent Micro Patterning LLC St. Petersburg, Florida Processing

More information

Lithography Industry Collaborations

Lithography Industry Collaborations Accelerating the next technology revolution Lithography Industry Collaborations SOKUDO Breakfast July 13, 2011 Stefan Wurm SEMATECH Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

Contributing to Society through Business

Contributing to Society through Business 19 through Business Contributing to Society through Business Based on its new management vision, the Nikon Group will create new value that contributes to the sustainable development of society through

More information

EUV Substrate and Blank Inspection

EUV Substrate and Blank Inspection EUV Substrate and Blank Inspection SEMATECH EUV Workshop 10/11/99 Steve Biellak KLA-Tencor RAPID Division *This work is partially funded by NIST-ATP project 98-06, Project Manager Purabi Mazumdar 1 EUV

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Nikon Medium Term Management Plan

Nikon Medium Term Management Plan NIKON CORPORATION Mar.30,2006 Nikon Medium Term Management Plan March 30, 2006 NIKON CORPORATION This presentation contains forward-looking statements with respect to future results, performance and achievements

More information

Triple i - The key to your success

Triple i - The key to your success Triple i - The key to your success The needs and challenges of today s world are becoming ever more demanding. Standards are constantly rising. Creativity, reliability and high performance are basic prerequisites

More information

Partner for Success Secure & Smart Future Home

Partner for Success Secure & Smart Future Home Partner for Success Secure & Smart Future Home Jiang Yanbing Director of Strategy and Market Development Dept. Infineon Technologies China Table of contents 1 About Infineon 2 Make Future Home Smart and

More information

Capacitive sensors capancdt

Capacitive sensors capancdt Capacitive sensors capancdt Measuring principle capacitive sensors - Principle of ideal plate capacitor - Two plate electrodes are represented by sensor and measurement object - Measurement on insulators

More information

SEMI Connects: An Overview of SEMI Worldwide. Theresia Fasinski - Manager Membership Relations, SEMI Europe

SEMI Connects: An Overview of SEMI Worldwide. Theresia Fasinski - Manager Membership Relations, SEMI Europe SEMI Connects: An Overview of SEMI Worldwide Theresia Fasinski - Manager Membership Relations, SEMI Europe SEMI Connects to Advance a Global Industry Mission SEMI provides industry stewardship and engages

More information

AGC Glass Technology Solution to Highly Functional Display Needs

AGC Glass Technology Solution to Highly Functional Display Needs AGC Glass Technology Solution to Highly Functional Display Needs Takahiro IKEZAKI Vice President Electronics Glass General Division, AGC Electronics, Asahi Glass Co., Ltd. June 5, 2012 -Contents- AGC Strengths

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

LSI ON GLASS SUBSTRATES

LSI ON GLASS SUBSTRATES LSI ON GLASS SUBSTRATES OUTLINE Introduction: Why System on Glass? MOSFET Technology Low-Temperature Poly-Si TFT Technology System-on-Glass Technology Issues Conclusion System on Glass CPU SRAM DRAM EEPROM

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG Electron Multi-Beam Technology for Mask and Wafer Direct Write Elmar Platzgummer IMS Nanofabrication AG Contents 2 Motivation for Multi-Beam Mask Writer (MBMW) MBMW Tool Principles and Architecture MBMW

More information

Direct printing tools for flexible hybrid electronics assembly. David Grierson, Ph.D. President & CTO of systemech, LLC

Direct printing tools for flexible hybrid electronics assembly. David Grierson, Ph.D. President & CTO of systemech, LLC Direct printing tools for flexible hybrid electronics assembly David Grierson, Ph.D. President & CTO of systemech, LLC We solve the problem of placing ultra-thin, high-performance Si devices onto flexible

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

FINDINGS. REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck. Figure 1

FINDINGS. REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck. Figure 1 FINDINGS REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck A. Results At the Center for High Tech Materials at the University of New Mexico, my work

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

Addressing the Design Challenges of RF/ Millimeter Wave Semiconductor Packaging

Addressing the Design Challenges of RF/ Millimeter Wave Semiconductor Packaging MICROELECTRONIC PACKAGES HEADERS & TERMINALS CERAMIC SOLUTIONS Addressing the Design Challenges of RF/ Millimeter Wave Semiconductor Packaging Craig Vieira RF Designer IMAPS New England - May 3, 2016 1

More information

Lithography in our Connected World

Lithography in our Connected World Lithography in our Connected World SEMI Austin Spring Forum TOP PAN P R INTING CO., LTD MATER IAL SOLUTIONS DIVISION Toppan Printing Co., LTD A Broad-Based Global Printing Company Foundation: January 17,

More information

Manufacturing Process of the Hubble Space Telescope s Primary Mirror

Manufacturing Process of the Hubble Space Telescope s Primary Mirror Kirkwood 1 Manufacturing Process of the Hubble Space Telescope s Primary Mirror Chase Kirkwood EME 050 Winter 2017 03/11/2017 Kirkwood 2 Abstract- The primary mirror of the Hubble Space Telescope was a

More information

Recommended Dressing per Application

Recommended Dressing per Application Recommended Dressing per Application General: Substrate matrices and quality requirements may vary and be different from customer to customer. The ADT recommended dressing procedures are general / generic

More information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell! Where were we? Simple Si solar Cell! Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Introduction of Nikon s Large Silica Glass Plate

Introduction of Nikon s Large Silica Glass Plate GLASS BUSINESS UNIT Introduction of Nikon s Large Silica Glass Plate 11. Nov. 2015 NGD2015-247 Precision Glass Technologies That Only Nikon Can Deliver Nikon s Strengths Integrated production from optical

More information

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza Technology for the MEMS processing and testing environment SUSS MicroTec AG Dr. Hans-Georg Kapitza 1 SUSS MicroTec Industrial Group Founded 1949 as Karl Süss KG GmbH&Co. in Garching/ Munich San Jose Waterbury

More information

Global Artificial Intelligence (AI) Semiconductor Market: Size, Trends & Forecasts ( ) August 2018

Global Artificial Intelligence (AI) Semiconductor Market: Size, Trends & Forecasts ( ) August 2018 Global Artificial Intelligence (AI) Semiconductor Market: Size, Trends & Forecasts (2018-2022) August 2018 Global Artificial Intelligence (AI) Semiconductor Market: Coverage Executive Summary and Scope

More information

Microsystem Technology for Eddy Current Testing Johannes PAUL, Roland HOLZFÖRSTER

Microsystem Technology for Eddy Current Testing Johannes PAUL, Roland HOLZFÖRSTER 11th European Conference on Non-Destructive Testing (ECNDT 2014), October 6-10, 2014, Prague, Czech Republic More Info at Open Access Database www.ndt.net/?id=16638 Microsystem Technology for Eddy Current

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

In 1984, a cell phone in the U.S. cost $3,995 and

In 1984, a cell phone in the U.S. cost $3,995 and In 1984, a cell phone in the U.S. cost $3,995 and weighed 2 pounds. Today s 8GB smartphones cost $199 and weigh as little as 4.6 oz. Technology Commercialization Applied Materials is one of the most important

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Consumer Electronics: from chip to system

Consumer Electronics: from chip to system Consumer Electronics: from chip to system Kees Revenberg Co-founder/MD June 6 th 2018 APC, University of Warwick, UK NMI Techworks 6-6-2018 CONTENT Introduction Consumer Electronics Definition Trends and

More information

End-of-line Standard Substrates For the Characterization of organic

End-of-line Standard Substrates For the Characterization of organic FRAUNHOFER INSTITUTe FoR Photonic Microsystems IPMS End-of-line Standard Substrates For the Characterization of organic semiconductor Materials Over the last few years, organic electronics have become

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

Combined expertise in the field of optical 3D gauging technology ensures market advantage

Combined expertise in the field of optical 3D gauging technology ensures market advantage Expertise in optical 3D gauging technology expanded Combined expertise in the field of optical 3D gauging technology ensures market advantage Darmstadt, September 13, 2012 - ISRA VISION AG, a world leader

More information

From Sand to Silicon Making of a Chip Illustrations May 2009

From Sand to Silicon Making of a Chip Illustrations May 2009 From Sand to Silicon Making of a Chip Illustrations May 2009 1 The illustrations on the following foils are low resolution images that visually support the explanations of the individual steps. For publishing

More information

Enabling rich and varied image creation

Enabling rich and varied image creation Capture with light Enabling rich and varied image creation Multi-directional support for the development of imaging culture IMAGING PRODUCTS BUSINESS Nikon brings imaging enjoyment to people around the

More information

Day One 13 March Day Two 14 March 2019

Day One 13 March Day Two 14 March 2019 GSEF 2019 Advisory Board Ralph Lauxmann, Senior Vice President Systems & Technology, Continental Automotive Hans Adlkofer, Vice President Systems Group, The Automotive Division, Infineon Technologies Hai

More information

No soft touch only automated systems can boost productivity and quality when lapping/polishing fragile GaAs wafers

No soft touch only automated systems can boost productivity and quality when lapping/polishing fragile GaAs wafers No soft touch only automated systems can boost productivity and quality when lapping/polishing fragile GaAs wafers Author: Mark Kennedy www.logitech.uk.com Overview The processing of GaAs (gallium arsenide)

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

Creating a Public Safety Ecosystem

Creating a Public Safety Ecosystem Creating a Public Safety Ecosystem Synchronizing Human and Digital Intelligence Contents Topics Page Introduction... Secure Data Access and Sharing... The Increasing Role of Citizen Involvement... Social

More information

Chapter 15 Summary and Future Trends

Chapter 15 Summary and Future Trends Chapter 15 Summary and Future Trends Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 The 1960s First IC product Bipolar

More information

New Structured Light Projection and Image Processing Sensor. Phoenix

New Structured Light Projection and Image Processing Sensor. Phoenix New Structured Light Projection and Image Processing Sensor Phoenix The new Phoenix The Revolution in Optical Measurement With the new Phoenix, WENZEL has perfomed pioneering development work in optical

More information

Energy & Space. International Presentations

Energy & Space. International Presentations Energy & Space International Presentations 2012-2013 Advanced Electronics 3D Printed Circuit Boards 3D Printed Circuit Boards for Solder-Free Printable Electronics 4x4 Vehicles Arduino WiFi Android Controllers

More information

How material engineering contributes to delivering innovation in the hyper connected world

How material engineering contributes to delivering innovation in the hyper connected world How material engineering contributes to delivering innovation in the hyper connected world Paul BOUDRE, Soitec CEO Leti Innovation Days - July 2018 Grenoble, France We live in a world of data In perpetual

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Finishing first how automated systems improve the productivity and repeatability of wafer lapping and polishing

Finishing first how automated systems improve the productivity and repeatability of wafer lapping and polishing Finishing first how automated systems improve the productivity and repeatability of wafer lapping and polishing Author: Mark Kennedy www.logitech.uk.com Overview The lapping and polishing of wafers for

More information

ASM Webinar Digital Microscopy for Materials Science

ASM Webinar Digital Microscopy for Materials Science Digital Microscopy Defined The term Digital Microscopy applies to any optical platform that integrates a digital camera and software to acquire images; macroscopes, stereomicroscopes, compound microscopes

More information

Two major features of this text

Two major features of this text Two major features of this text Since explanatory materials are systematically made based on subject examination questions, preparation

More information

INDUSTRIAL TECHNOLOGIES FOR SCHOOLS

INDUSTRIAL TECHNOLOGIES FOR SCHOOLS INDUSTRIAL TECHNOLOGIES FOR SCHOOLS (LMS) Director: Prof. George Chryssolouris Dept. of Mechanical Engineering & Aeronautics University of Patras, Greece INDUSTRIAL TECHNOLOGIES FOR SCHOOLS: BACKGROUND

More information

AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan

AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan > AOARD-TR-94-22 AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan 22 April 1994 S. J. Yakura AOARD The Photomask Japan '94 symposium, the first photomask

More information

Inspection of templates for imprint lithography

Inspection of templates for imprint lithography Inspection of templates for imprint lithography Harald F. Hess, a) Don Pettibone, David Adler, and Kirk Bertsche KLA-Tencor 160 Rio Robles, San Jose, California 95134 Kevin J. Nordquist, David P. Mancini,

More information

Miniaturization trends in medical imaging enabled by full wafer level integration if micro camera modules

Miniaturization trends in medical imaging enabled by full wafer level integration if micro camera modules Miniaturization trends in medical imaging enabled by full wafer level integration if micro camera modules About AWAIBA o Excellence in Custom design & Standard Sensor components for Medical imaging and

More information

World Semiconductor Council Anticounterfeiting Task Force

World Semiconductor Council Anticounterfeiting Task Force World Semiconductor Council Anticounterfeiting Task Force 1 Overview Because they are used in criticallyimportant applications, counterfeit semiconductors threaten the health, safety, and security of everyone

More information

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc.

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc. All-Glass Gray Scale PhotoMasks Enable New Technologies Che-Kuang (Chuck) Wu Canyon Materials, Inc. 1 Overview All-Glass Gray Scale Photomask technologies include: HEBS-glasses and LDW-glasses HEBS-glass

More information

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family From Sand to Silicon Making of a Chip Illustrations 32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family April 2011 1 The illustrations on the following foils are low resolution

More information

National Projects on Semiconductor in NEDO

National Projects on Semiconductor in NEDO National Projects on Semiconductor in NEDO June 17, 2011 Toru Nakayama New Energy and Industrial Technology Development Organization (NEDO), Japan Contents About NEDO NEDO s projects for semiconductor

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

Image sensor combining the best of different worlds

Image sensor combining the best of different worlds Image sensors and vision systems Image sensor combining the best of different worlds First multispectral time-delay-and-integration (TDI) image sensor based on CCD-in-CMOS technology. Introduction Jonathan

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

KÜNSTLICHE INTELLIGENZ JOBKILLER VON MORGEN?

KÜNSTLICHE INTELLIGENZ JOBKILLER VON MORGEN? KÜNSTLICHE INTELLIGENZ JOBKILLER VON MORGEN? Marc Stampfli https://www.linkedin.com/in/marcstampfli/ https://twitter.com/marc_stampfli E-Mail: mstampfli@nvidia.com INTELLIGENT ROBOTS AND SMART MACHINES

More information

Application Bulletin 240

Application Bulletin 240 Application Bulletin 240 Design Consideration CUSTOM CAPABILITIES Standard PC board fabrication flexibility allows for various component orientations, mounting features, and interconnect schemes. The starting

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

UV LED CHIP SPECIFICATION

UV LED CHIP SPECIFICATION UV LED CHIP SPECIFICATION Model: Nitride Semiconductors Co., Ltd. . Name: UV LED Chip 2. Model: 3. Material: GaN based material (This product complies with RoHS.) 4. Electrode: n-contact Au p-contact Au

More information

Beyond Moore the challenge for Europe

Beyond Moore the challenge for Europe Beyond Moore the challenge for Europe Dr. Alfred J. van Roosmalen Vice-President Business Development, NXP Semiconductors Company member of MEDEA+/CATRENE/AENEAS/Point-One FIT-IT 08 Spring Research Wien,

More information

The Advantages of Integrated MEMS to Enable the Internet of Moving Things

The Advantages of Integrated MEMS to Enable the Internet of Moving Things The Advantages of Integrated MEMS to Enable the Internet of Moving Things January 2018 The availability of contextual information regarding motion is transforming several consumer device applications.

More information

shaping global nanofuture ULTRA-PRECISE PRINTING OF NANOMATERIALS

shaping global nanofuture ULTRA-PRECISE PRINTING OF NANOMATERIALS shaping global nanofuture ULTRA-PRECISE PRINTING OF NANOMATERIALS WHO ARE WE? XTPL S.A. is a company operating in the nanotechnology segment. The interdisciplinary team of XTPL develops on a global scale

More information

The Future is Now: Are you ready? Brian David

The Future is Now: Are you ready? Brian David The Future is Now: Are you ready? Brian David Johnson @BDJFuturist Age 13 Who am I? Age 13 Who am I? Who am I? Nerd! Age 13 In the next 10 years 2020 and Beyond Desktops Laptops Large Tablets Smartphone

More information

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

Opto-Mechanical Equipment of KBTEM: Present Day and the Future KBTEM JSC, Minsk, Belarus Opto-Mechanical Equipment of KBTEM: Present Day and the Future Quality Management System Certificate ISO-9001 since 2001 SPIE Member since 2003 www.kb-omo.by Dr. S.Avakaw SEMI

More information

A Review of Related Work on Machine Learning in Semiconductor Manufacturing and Assembly Lines

A Review of Related Work on Machine Learning in Semiconductor Manufacturing and Assembly Lines A Review of Related Work on Machine Learning in Semiconductor Manufacturing and Assembly Lines DI Darko Stanisavljevic VIRTUAL VEHICLE DI Michael Spitzer VIRTUAL VEHICLE i-know 16 18.-19.10.2016, Graz

More information

Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing

Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing Keith Best, Gurvinder Singh, and Roger McCleary Rudolph Technologies, Inc. 16 Jonspin Rd. Wilmington,

More information

Advanced wafer processing: Ready for the new PV generation 5 th Annual c-si PVMC Workshop Christoph Eggimann

Advanced wafer processing: Ready for the new PV generation 5 th Annual c-si PVMC Workshop Christoph Eggimann Advanced wafer processing: Ready for the new PV generation 5 th Annual c-si PVMC Workshop 13.7.2016 Christoph Eggimann The new PV generation A B Diamond wire Thinner wafer Lower costs Single wafer tracking

More information

Electromechanical devices MM2EMD. Lecture 1 - Counting to 1024 on your fingers and getting robots to pour beer.

Electromechanical devices MM2EMD. Lecture 1 - Counting to 1024 on your fingers and getting robots to pour beer. University of Nottingham Electromechanical devices MM2EMD Lecture - Counting to 24 on your fingers and getting robots to pour beer. Dr. roderick.mackenzie@nottingham.ac.uk Summer 25 Released under Outline

More information

Semiconductor Process Diagnosis and Prognosis for DSfM

Semiconductor Process Diagnosis and Prognosis for DSfM Semiconductor Process Diagnosis and Prognosis for DSfM Department of Electronic Engineering Prof. Sang Jeen Hong Nov. 19, 2014 1/2 Agenda 1. Semiconductor Manufacturing Industry 2. Roles of Semiconductor

More information

Machine Vision Beyond the Factory. Jeff Burnstein President October 18, 2012 Beijing

Machine Vision Beyond the Factory. Jeff Burnstein President October 18, 2012 Beijing Machine Vision Beyond the Factory Jeff Burnstein President October 18, 2012 Beijing 1 Machine Vision is No Longer Tied to the Factory Biometrics Medical Imaging Traffic Management High end Security and

More information

MicroPG 101 Pattern Generator Standard Operating Procedure Draft v.0.2

MicroPG 101 Pattern Generator Standard Operating Procedure Draft v.0.2 Tool owner: Roman Akhmechet, romana@princeton.edu, x 8-0468 Backup: David Barth, dbarth@princeton.edu MicroPG 101 Pattern Generator Standard Operating Procedure Draft v.0.2 QUICK GUIDE PROCEDURE OVERVIEW

More information

Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor

Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor Imager Process Review For comments, questions, or more information about this report, or for any additional technical

More information

B. Flip-Chip Technology

B. Flip-Chip Technology B. Flip-Chip Technology B1. Level 1. Introduction to Flip-Chip techniques B1.1 Why flip-chip? In the development of packaging of electronics the aim is to lower cost, increase the packaging density, improve

More information

The Future of Technology

The Future of Technology Technology And Society Committee November 11, 2008 Slides: http://www.slideshare.net/lablogga/slideshows Melanie Swan Research Associate MS Futures Group Palo Alto, CA 415-505-4426 m@melanieswan.com http://www.melanieswan.com

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films Hermetic Packaging Solutions using Borosilicate Glass Thin Films 1 Company Profile Company founded in 2006 ISO 9001:2008 qualified since 2011 Headquarters and Production in Dresden, Germany Production

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Gerhard Schlueter a, Walter Steinberg a, John Whittey b a Leica Microsystems Wetzlar GmbH Ernst-Leitz-Str. 17-37, D-35578

More information

Exhibit 2 Declaration of Dr. Chris Mack

Exhibit 2 Declaration of Dr. Chris Mack STC.UNM v. Intel Corporation Doc. 113 Att. 5 Exhibit 2 Declaration of Dr. Chris Mack Dockets.Justia.com UNITED STATES DISTRICT COURT DISTRICT OF NEW MEXICO STC.UNM, Plaintiff, v. INTEL CORPORATION Civil

More information

Brief Introduction of Sigurd IC package Assembly

Brief Introduction of Sigurd IC package Assembly Brief Introduction of Sigurd IC package Assembly Content Package Development Trend Product Brief Sawing type QFN Representative MEMS Product LGA Light Sensor Proximity Sensor High Yield Capability Low

More information

Infineon at a glance

Infineon at a glance Infineon at a glance 2017 www.infineon.com We make life easier, safer and greener with technology that achieves more, consumes less and is accessible to everyone. Microelectronics from Infineon is the

More information